SlideShare a Scribd company logo
1 of 5
Pardeep Kumar, Susmita Mishra, Amrita Singh / International Journal of Engineering
Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.509-513
509 | P a g e
Study of Existing Full Adders and To Design a LPFA (Low Power
Full Adder)
Pardeep Kumar, Susmita Mishra, Amrita Singh
1
Department of ECE, B.M.S.E.C, Muktsar, 2,3
Asstt. Professor, B.M.S.E.C, Muktsar
Abstract
This paper describes the different logic
style used for CMOS full adders and different
equation used to implement the required Boolean
logic for full adders. This paper also describes
that the speed of the design is limited by size of
the transistors, parasitic capacitance and delay
in the critical path. Power consumption and
speed are two important but conflicting design
aspects; hence a better metric to evaluate circuit
performance is power delay product (PDP).The
driving capability of a full adder is very
important, because, full adders are mostly used
in cascade configuration, where the output of one
provides the input for other. If the full adders
lack Driving capability then it requires
additional buffer, which consequently increases
the power dissipation. At last a new LPFA
Design is proposed with comparisons between
the various full adders to show the better
performance of LPFA in terms of power
consumption, area (number of transistors) and
delay. The LPFA and all other various full
adders are designed and simulated using mentor
graphics tool in 0.18 μm technology. The
frequency used is 100 MHz. the voltage and all
the various full adders and others designs are
simulated at a voltage supply of 1.8V at same
frequency.
Keywords: CMOS Transmission Gate (TG),
PassTransistor Logic (PTL), Complementary Pass-
transistor Logic (CPL), Gate Diffusion Input (GDI),
LPFA (Low Power Full Adder), GDI based full
adder Power, Delay
1. Introduction
ADDITION is one of the fundamental
arithmetic operations. It is used extensively in many
VLSI systems such as application-specific DSP
architectures and microprocessors. In addition to its
main task, which is adding binary numbers, it is the
nucleus of many other useful operations such as
subtraction, Multiplication, division, addresses
calculation, etc. In most of these systems the adder
is part of the critical path that determines the overall
performance of the system. That is why enhancing
the performance of the 1-bit full-adder cell (the
building block of the binary adder) is a significant
goal.
The choice of logic style to design digital circuits
strongly influences the circuit performance. The
delay time depends on the size of transistors, the
number of transistors per stack, the parasitic
capacitance including intrinsic capacitance and
capacitance due to intracell and intercell routing,
and the logic depth (i.e., number of logic gates in
the critical path). The dynamic power consumption
depends on the switching activity and the number
and size of transistors. Among other things, the die
area depends on the number and size of transistors
and routing complexity.
At the system level, in many synchronous
implementations of microprocessors, the adder lies
in the critical path because it is a key element in a
wide range of arithmetic units such as ALUs and
multipliers.
Extensive variants of full adders have been
investigated by the academic and industrial research
communities. The usual performance evaluations
are speed, power consumption, and area. However,
since mobile and embedded applications have
prioritized the power consumption to stand at the
top of circuit and system performance evaluations,
the goal of many of these full-adder variants has
traditionally been the reduction of transistor count.
However, Chang et al. have shown in that although
some of these full adders feature good behavior
when implementing a 1-bit cell, they may show
performance degradation when used to implement
more complex structures.
Recently, building low-power VLSI
systems has emerged as highly in demand because
of the fast growing technologies in mobile
communication and computation. The battery
technology doesn’t advance at the same rate as the
microelectronics technology. There is a limited
amount of power Available for the mobile systems.
So designers are faced with more constraints: high
speed, high throughput, small silicon area, and at
the same time, low-power consumption. So building
low-power, high-performance adder cells is of great
interest.
2. Equation used in CMOS full adders
A full adder performs the addition of two
bits A and B with the Carry (Cin) bit generated in
the previous stage. The integer equivalent of this
relation is shown by:
Pardeep Kumar, Susmita Mishra, Amrita Singh / International Journal of Engineering
Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.509-513
510 | P a g e
A+B+Cin=2xCout +Sum (1)
The conventional logic equation for Sum and Carry
are:
Cout= (A∙B) + (A+B)∙Cin (2)
Sum= (A∙B∙Cin) + (A+B+Cin) ∙Cout (3)
By modifying the equations (2) and (3) the
following logics were proposed:-
Sum= A B Cin (4)
Cout= Cin (A B) +A∙(A B) (5)
Sum= A B Cin (6)
Sum= (Cin∙(A B)) + (Cin∙(A B) (7)
Cout= Cin (A∙B) + Cin∙(A+B) (8)
Full Adder using CMOS Logic and will be called as
“Conventional CMOS design”.
3. Existing full adder circuits
There are standard implementations for the
full-adder cell that are used from last few years
some of them among these adders there are the
following:-
Figure.1- The Conventional CMOS full-adder
The CMOS full adder (CMOS)[1] has 28 transistors
and is based on the regular CMOS structure
The Mirror logic [6] style based full adder has 28
transistors
Figure-2: Mirror logic style based full adder
The DPL logic [3] style based full adder has 28
transistors
A Transmission gate full adder [4] using 18
transistors
A full adder cell using 14 transistors
A full adder cell using 10 transistors
Figure: 3-DPL logic style based full adder
Figure-4: Transmission gate full adder
Pardeep Kumar, Susmita Mishra, Amrita Singh / International Journal of Engineering
Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.509-513
511 | P a g e
The DPL provides a saving power of about 2% over
the conventional CMOS based full adder. But they
generally do not provide good advantage over the
delay. So the main advantage in PDP (power delay
product) is only due to lesser power consuming
Logic style. The TG-FA provides a power saving of
1% and delay reduction of about 2% over the
conventional CMOS Based full adder.
.
Fig-5:14-T full adder cell Figure-6:10-T full
adder cell
4. Design of Low Power XOR and Low
Power XNOR
The improved versions are illustrated in
Fig. 11 and Fig.12.In the improved versions both
designs use 4transistors to achieve the same
functions of XOR and XNOR.
Fig:-11: LP XOR gate Fig 12:-: LP XNOR gate
Analysis on XOR structure, the output
signals in the cases of input signal AB = 01, 10, 11
will be complete. When AB = 00, each PMOS will
be on and will pass a poor “LO’ signal level to the
output end. That is, if AB = 00, the output end will
display a voltage, threshold voltage ~Vpth, a little
higher than “LO”. For the XNOR function, the
output signal in the case of AB = 00, 01, 10 will be
complete. While AB = 11, each NMOS will be on
and pass the poor “HI” signal level to the output
end. The analysis of driving capability is the same
as XOR structure. The structures stated above are
the versions of 4 transistors without a driving
output.
Fig:-13: LP XOR gate Fig 14:-: LP XNOR gate
With driving outputs with driving outputs
By cascading a standard inverter to the LP
XNOR circuit, a new type of XOR, as shown in Fig.
13 and Fig 14, will have a driving output, and the
signal level at the output end will be perfect in all
cases. The same property is present in the XNOR
structure.
The output waveforms for XOR and
XNOR for are given inputs A and B are shown in
Fig 15, 16 and Fig 17.
Fig-15:-showing the input signals A and B.
Fig:-16:- Showing the output of 6-transistor
XOR.
Fig:-17:- Showing the output of 6-transistor
XNOR
Pardeep Kumar, Susmita Mishra, Amrita Singh / International Journal of Engineering
Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.509-513
512 | P a g e
5. Design of Low Power Adder Using LP
XOR and XNOR
The Low power full adder which takes
lesser number of transistors than the all other
previously discussed configurations. The major
drawback of this method is that although it utilizes
lesser number of transistors but it does not provide
full swing at the output which is needed to drive any
external load. So to avoid this type of problem we
will form the XOR by using XNOR followed by an
inverter. Figure:-50 shown below is the schematic
for the LOW POWER FULL ADDER (LPFA).
Figure 50:- LPFA (Low Power Full Adder)
schematic
Now next we will show the sum and carry output
waveform of LPFA (Low Power Full Adder).
Figure 51:-Input and Sum output waveform of
LPFA
Figure 52:- Input and Carry output waveform of
LPFA
Figure 53:-Showing average current of LPFA
Now we will use this average current waveform to
find the dynamic power dissipation.
Dynamic power dissipation P= (average current)
x (voltage supply (Vdd))
SoP = (10.5152µA) x (1.8V) =18.92736 µW
6. Conclusion and Future work
As observed from the discussion about
the full adder that various designs have their own
advantage and disadvantage in terms of area, delay
and power consumption. So reducing any of these
parameters will leads to a high performance design
of full adder design.
Pardeep Kumar, Susmita Mishra, Amrita Singh / International Journal of Engineering
Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 3, May-Jun 2013, pp.509-513
513 | P a g e
Hence we can see that LPFA (Low Power Full
Adder) is better than all the other full adder designs
in terms of Power consumption, Area (Number of
Transistor), Delay, PDP (Power Delay Product.
Future work will be focused on the
reduction of any of the parameter shown above i.e.
Area, Delay and Power. There is also another term
i.e. PDP (power delay product) this is generally
used for to make a trade-off between power
consumption and delay.
Table 5:- Showing the comparison of
performance Conventional CMOS full Adder,
BBL-PT based full adder, HYBRID FULL
ADDER and LPFA
Desig
n
Del
ay(
ps)
Static
Power
Dissipa
tion(p
W)
Dynam
ic
Power
Dissipa
tion(µ
W)
Tota
l
Pow
er(µ
W)
Tra
nsist
or
Cou
nt
Conv
entio
nal
CMO
S full
adder
124 143.59
2
23.744
3
23.74
443
28
BBL-
PT
logic
based
full
adder
110.
3 126.30
8
22.606
38
22.60
6506
27
Hybri
d full
adder
142 158.67
4
29.787
1
29.78
7258
30
Low
Powe
r full
adder
(LPF
A)
101.
2
113.86
9
18.927
36
18.92
7473
26
Figure-5
References
[1]. I.Hassoune, A.Neve, J.Legat, and
D.Flandre, “Investigation of low-power
circuit techniques for a hybrid full-adder
cell,” in Proc. PATMOS 2004, pp. 189–
197, Springer-Verlag
[2]. A.M.Shams, Tarek k.darwish,”
performance analysis of low-power 1-bit
CMOS full adder cells IEEE Trans. Very
Large Scale Integ. (VLSI) Syst vol. 10 no
.1, pp.20-29, feb.2002.
[3]. C.-H. Chang, M. Zhang, “A review of
0.18 m full adder performances for tree
structured arithmetic circuits,” I EEE
Trans. Very Large Scale Integration.
(VLSI) Syst. vol. 13, no. 6, pp. 686–694,
Jun. 2005.
[4]. M.aguir re, M.linares “an alternative
logic app roach to implement high speed
low power full adder cells”SBBCI SEP
2005 PP. 166-171.
[5] A.K. Aggarwal, S. wairya, and
S.Tiwari,”a new full adder for high
speed low power digital circuits “world
science of journal 7 special issue of
computer and IT: June 2009,pp.- 138-144.
[6] M.Hossein, R.F.Mirzaee, K.Navi and
T.Nikoubin” new high performance
majority function based full adder cell” 14
inter national CSI conference 2009, pp.
100- 104.
[7] A.M.Shams,” A new full adder cell for
low power applications“ centre for
advance computer studies, university of
southwestern Louisiana.
[8] D.Radhakrishnan,” low power CMOS full
adder” IEE proc: - circuits devices system
vol. 148 no. 1 Feb. 2001.pp- 19-24.
[9] John p. Uyemura “Introduction to VLSI
circuits and systems”

More Related Content

What's hot

Delay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI ApplicationsDelay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI ApplicationsIRJET Journal
 
Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...
Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...
Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...IISTech2015
 
Easy chair preprint-2954
Easy chair preprint-2954Easy chair preprint-2954
Easy chair preprint-2954Hoopeer Hoopeer
 
Implementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS TechnologyImplementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS Technologyijsrd.com
 
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELLCNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELLVLSICS Design
 
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...VLSICS Design
 
Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd Iaetsd
 
Power Optimized Multiplexer Based 1 Bit Full Adder Cell Using .18 µm CMOS Tec...
Power Optimized Multiplexer Based 1 Bit Full Adder Cell Using .18 µm CMOS Tec...Power Optimized Multiplexer Based 1 Bit Full Adder Cell Using .18 µm CMOS Tec...
Power Optimized Multiplexer Based 1 Bit Full Adder Cell Using .18 µm CMOS Tec...iosrjce
 
Phase-Shifted Full-Bridge Zero Voltage Switching DC-DC Converter Design with ...
Phase-Shifted Full-Bridge Zero Voltage Switching DC-DC Converter Design with ...Phase-Shifted Full-Bridge Zero Voltage Switching DC-DC Converter Design with ...
Phase-Shifted Full-Bridge Zero Voltage Switching DC-DC Converter Design with ...IJECEIAES
 
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...IJERA Editor
 
DESIGN OF A HIGH PRECISION, WIDE RANGED ANALOG CLOCK GENERATOR WITH FIELD PRO...
DESIGN OF A HIGH PRECISION, WIDE RANGED ANALOG CLOCK GENERATOR WITH FIELD PRO...DESIGN OF A HIGH PRECISION, WIDE RANGED ANALOG CLOCK GENERATOR WITH FIELD PRO...
DESIGN OF A HIGH PRECISION, WIDE RANGED ANALOG CLOCK GENERATOR WITH FIELD PRO...VLSICS Design
 

What's hot (19)

www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
 
K010346973
K010346973K010346973
K010346973
 
Delay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI ApplicationsDelay Optimized Full Adder Design for High Speed VLSI Applications
Delay Optimized Full Adder Design for High Speed VLSI Applications
 
my journal paper
my journal papermy journal paper
my journal paper
 
Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...
Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...
Bridgeless PFC-Modified SEPIC Rectifier With Extended Gain for Universal Inpu...
 
[IJCT-V3I2P23] Authors: Baljinder Kaur, Narinder Sharma
[IJCT-V3I2P23] Authors: Baljinder Kaur, Narinder Sharma[IJCT-V3I2P23] Authors: Baljinder Kaur, Narinder Sharma
[IJCT-V3I2P23] Authors: Baljinder Kaur, Narinder Sharma
 
H010335563
H010335563H010335563
H010335563
 
A0210106
A0210106A0210106
A0210106
 
Easy chair preprint-2954
Easy chair preprint-2954Easy chair preprint-2954
Easy chair preprint-2954
 
Implementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS TechnologyImplementation of Full Adder Cell Using High Performance CMOS Technology
Implementation of Full Adder Cell Using High Performance CMOS Technology
 
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELLCNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
CNFET BASED BASIC GATES AND A NOVEL FULLADDER CELL
 
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...Compact low power high slew-rate cmos buffer amplifier with power gating tech...
Compact low power high slew-rate cmos buffer amplifier with power gating tech...
 
Cq4301536541
Cq4301536541Cq4301536541
Cq4301536541
 
Iaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuitIaetsd design of a low power multiband clock distribution circuit
Iaetsd design of a low power multiband clock distribution circuit
 
Power Optimized Multiplexer Based 1 Bit Full Adder Cell Using .18 µm CMOS Tec...
Power Optimized Multiplexer Based 1 Bit Full Adder Cell Using .18 µm CMOS Tec...Power Optimized Multiplexer Based 1 Bit Full Adder Cell Using .18 µm CMOS Tec...
Power Optimized Multiplexer Based 1 Bit Full Adder Cell Using .18 µm CMOS Tec...
 
Phase-Shifted Full-Bridge Zero Voltage Switching DC-DC Converter Design with ...
Phase-Shifted Full-Bridge Zero Voltage Switching DC-DC Converter Design with ...Phase-Shifted Full-Bridge Zero Voltage Switching DC-DC Converter Design with ...
Phase-Shifted Full-Bridge Zero Voltage Switching DC-DC Converter Design with ...
 
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...
 
DESIGN OF A HIGH PRECISION, WIDE RANGED ANALOG CLOCK GENERATOR WITH FIELD PRO...
DESIGN OF A HIGH PRECISION, WIDE RANGED ANALOG CLOCK GENERATOR WITH FIELD PRO...DESIGN OF A HIGH PRECISION, WIDE RANGED ANALOG CLOCK GENERATOR WITH FIELD PRO...
DESIGN OF A HIGH PRECISION, WIDE RANGED ANALOG CLOCK GENERATOR WITH FIELD PRO...
 
M045048184
M045048184M045048184
M045048184
 

Viewers also liked (20)

Dx33746752
Dx33746752Dx33746752
Dx33746752
 
Gb3310821084
Gb3310821084Gb3310821084
Gb3310821084
 
Eb33766771
Eb33766771Eb33766771
Eb33766771
 
Dp33701704
Dp33701704Dp33701704
Dp33701704
 
Ec33772776
Ec33772776Ec33772776
Ec33772776
 
Fv3310401049
Fv3310401049Fv3310401049
Fv3310401049
 
Cw33592595
Cw33592595Cw33592595
Cw33592595
 
Ds33717725
Ds33717725Ds33717725
Ds33717725
 
Cv33588591
Cv33588591Cv33588591
Cv33588591
 
Jp3417421749
Jp3417421749Jp3417421749
Jp3417421749
 
Bv35420424
Bv35420424Bv35420424
Bv35420424
 
Co35503507
Co35503507Co35503507
Co35503507
 
Cm35495498
Cm35495498Cm35495498
Cm35495498
 
Cz35565572
Cz35565572Cz35565572
Cz35565572
 
Dk35615621
Dk35615621Dk35615621
Dk35615621
 
Cb35446450
Cb35446450Cb35446450
Cb35446450
 
Dg35596600
Dg35596600Dg35596600
Dg35596600
 
Cc32497503
Cc32497503Cc32497503
Cc32497503
 
Bt32444450
Bt32444450Bt32444450
Bt32444450
 
Alpiste1
Alpiste1Alpiste1
Alpiste1
 

Similar to Ch33509513

DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...VLSICS Design
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...ijcisjournal
 
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSTHE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSIJCSEA Journal
 
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSTHE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSIJCSEA Journal
 
A comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleA comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleeSAT Publishing House
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd Iaetsd
 
Implementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersImplementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersKumar Goud
 
Efficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptEfficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptIJARIIT
 
Design of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS TechnologyDesign of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS TechnologyAssociate Professor in VSB Coimbatore
 
Efficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyEfficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyIJARIIT
 
Comparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsComparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsIOSR Journals
 
Leakage power optimization for ripple carry adder
Leakage power optimization for ripple carry adder Leakage power optimization for ripple carry adder
Leakage power optimization for ripple carry adder NAVEEN TOKAS
 
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...IRJET Journal
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicAssociate Professor in VSB Coimbatore
 
Implementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino LogicImplementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino LogicAssociate Professor in VSB Coimbatore
 
Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...IJARIIT
 
Performance Analysis of Proposed Full Adder Cell at Submicron Technologies
Performance Analysis of Proposed Full Adder Cell at Submicron TechnologiesPerformance Analysis of Proposed Full Adder Cell at Submicron Technologies
Performance Analysis of Proposed Full Adder Cell at Submicron TechnologiesIJMTST Journal
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET Journal
 

Similar to Ch33509513 (20)

Batch32 seminar ppt.pptx
Batch32 seminar ppt.pptxBatch32 seminar ppt.pptx
Batch32 seminar ppt.pptx
 
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
DESIGN AND PERFORMANCE ANALYSIS OF HYBRID ADDERS FOR HIGH SPEED ARITHMETIC CI...
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
 
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSTHE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
 
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSTHE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
 
A comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleA comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic style
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)
 
Implementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select AddersImplementation of Area Effective Carry Select Adders
Implementation of Area Effective Carry Select Adders
 
Ad4103173176
Ad4103173176Ad4103173176
Ad4103173176
 
Efficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptEfficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using pt
 
Design of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS TechnologyDesign of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
 
Efficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyEfficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technology
 
Comparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsComparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder Circuits
 
Leakage power optimization for ripple carry adder
Leakage power optimization for ripple carry adder Leakage power optimization for ripple carry adder
Leakage power optimization for ripple carry adder
 
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
 
Implementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino LogicImplementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino Logic
 
Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...
 
Performance Analysis of Proposed Full Adder Cell at Submicron Technologies
Performance Analysis of Proposed Full Adder Cell at Submicron TechnologiesPerformance Analysis of Proposed Full Adder Cell at Submicron Technologies
Performance Analysis of Proposed Full Adder Cell at Submicron Technologies
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full Adders
 

Recently uploaded

Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationSlibray Presentation
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Wonjun Hwang
 
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024BookNet Canada
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Enterprise Knowledge
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...Fwdays
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii SoldatenkoFwdays
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024BookNet Canada
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 3652toLead Limited
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentationphoebematthew05
 

Recently uploaded (20)

Connect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck PresentationConnect Wave/ connectwave Pitch Deck Presentation
Connect Wave/ connectwave Pitch Deck Presentation
 
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
Bun (KitWorks Team Study 노별마루 발표 2024.4.22)
 
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
Transcript: New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping Elbows
 
Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024Designing IA for AI - Information Architecture Conference 2024
Designing IA for AI - Information Architecture Conference 2024
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks..."LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
"LLMs for Python Engineers: Advanced Data Analysis and Semantic Kernel",Oleks...
 
"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko"Debugging python applications inside k8s environment", Andrii Soldatenko
"Debugging python applications inside k8s environment", Andrii Soldatenko
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food Manufacturing
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
 
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort ServiceHot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentation
 

Ch33509513

  • 1. Pardeep Kumar, Susmita Mishra, Amrita Singh / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.509-513 509 | P a g e Study of Existing Full Adders and To Design a LPFA (Low Power Full Adder) Pardeep Kumar, Susmita Mishra, Amrita Singh 1 Department of ECE, B.M.S.E.C, Muktsar, 2,3 Asstt. Professor, B.M.S.E.C, Muktsar Abstract This paper describes the different logic style used for CMOS full adders and different equation used to implement the required Boolean logic for full adders. This paper also describes that the speed of the design is limited by size of the transistors, parasitic capacitance and delay in the critical path. Power consumption and speed are two important but conflicting design aspects; hence a better metric to evaluate circuit performance is power delay product (PDP).The driving capability of a full adder is very important, because, full adders are mostly used in cascade configuration, where the output of one provides the input for other. If the full adders lack Driving capability then it requires additional buffer, which consequently increases the power dissipation. At last a new LPFA Design is proposed with comparisons between the various full adders to show the better performance of LPFA in terms of power consumption, area (number of transistors) and delay. The LPFA and all other various full adders are designed and simulated using mentor graphics tool in 0.18 μm technology. The frequency used is 100 MHz. the voltage and all the various full adders and others designs are simulated at a voltage supply of 1.8V at same frequency. Keywords: CMOS Transmission Gate (TG), PassTransistor Logic (PTL), Complementary Pass- transistor Logic (CPL), Gate Diffusion Input (GDI), LPFA (Low Power Full Adder), GDI based full adder Power, Delay 1. Introduction ADDITION is one of the fundamental arithmetic operations. It is used extensively in many VLSI systems such as application-specific DSP architectures and microprocessors. In addition to its main task, which is adding binary numbers, it is the nucleus of many other useful operations such as subtraction, Multiplication, division, addresses calculation, etc. In most of these systems the adder is part of the critical path that determines the overall performance of the system. That is why enhancing the performance of the 1-bit full-adder cell (the building block of the binary adder) is a significant goal. The choice of logic style to design digital circuits strongly influences the circuit performance. The delay time depends on the size of transistors, the number of transistors per stack, the parasitic capacitance including intrinsic capacitance and capacitance due to intracell and intercell routing, and the logic depth (i.e., number of logic gates in the critical path). The dynamic power consumption depends on the switching activity and the number and size of transistors. Among other things, the die area depends on the number and size of transistors and routing complexity. At the system level, in many synchronous implementations of microprocessors, the adder lies in the critical path because it is a key element in a wide range of arithmetic units such as ALUs and multipliers. Extensive variants of full adders have been investigated by the academic and industrial research communities. The usual performance evaluations are speed, power consumption, and area. However, since mobile and embedded applications have prioritized the power consumption to stand at the top of circuit and system performance evaluations, the goal of many of these full-adder variants has traditionally been the reduction of transistor count. However, Chang et al. have shown in that although some of these full adders feature good behavior when implementing a 1-bit cell, they may show performance degradation when used to implement more complex structures. Recently, building low-power VLSI systems has emerged as highly in demand because of the fast growing technologies in mobile communication and computation. The battery technology doesn’t advance at the same rate as the microelectronics technology. There is a limited amount of power Available for the mobile systems. So designers are faced with more constraints: high speed, high throughput, small silicon area, and at the same time, low-power consumption. So building low-power, high-performance adder cells is of great interest. 2. Equation used in CMOS full adders A full adder performs the addition of two bits A and B with the Carry (Cin) bit generated in the previous stage. The integer equivalent of this relation is shown by:
  • 2. Pardeep Kumar, Susmita Mishra, Amrita Singh / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.509-513 510 | P a g e A+B+Cin=2xCout +Sum (1) The conventional logic equation for Sum and Carry are: Cout= (A∙B) + (A+B)∙Cin (2) Sum= (A∙B∙Cin) + (A+B+Cin) ∙Cout (3) By modifying the equations (2) and (3) the following logics were proposed:- Sum= A B Cin (4) Cout= Cin (A B) +A∙(A B) (5) Sum= A B Cin (6) Sum= (Cin∙(A B)) + (Cin∙(A B) (7) Cout= Cin (A∙B) + Cin∙(A+B) (8) Full Adder using CMOS Logic and will be called as “Conventional CMOS design”. 3. Existing full adder circuits There are standard implementations for the full-adder cell that are used from last few years some of them among these adders there are the following:- Figure.1- The Conventional CMOS full-adder The CMOS full adder (CMOS)[1] has 28 transistors and is based on the regular CMOS structure The Mirror logic [6] style based full adder has 28 transistors Figure-2: Mirror logic style based full adder The DPL logic [3] style based full adder has 28 transistors A Transmission gate full adder [4] using 18 transistors A full adder cell using 14 transistors A full adder cell using 10 transistors Figure: 3-DPL logic style based full adder Figure-4: Transmission gate full adder
  • 3. Pardeep Kumar, Susmita Mishra, Amrita Singh / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.509-513 511 | P a g e The DPL provides a saving power of about 2% over the conventional CMOS based full adder. But they generally do not provide good advantage over the delay. So the main advantage in PDP (power delay product) is only due to lesser power consuming Logic style. The TG-FA provides a power saving of 1% and delay reduction of about 2% over the conventional CMOS Based full adder. . Fig-5:14-T full adder cell Figure-6:10-T full adder cell 4. Design of Low Power XOR and Low Power XNOR The improved versions are illustrated in Fig. 11 and Fig.12.In the improved versions both designs use 4transistors to achieve the same functions of XOR and XNOR. Fig:-11: LP XOR gate Fig 12:-: LP XNOR gate Analysis on XOR structure, the output signals in the cases of input signal AB = 01, 10, 11 will be complete. When AB = 00, each PMOS will be on and will pass a poor “LO’ signal level to the output end. That is, if AB = 00, the output end will display a voltage, threshold voltage ~Vpth, a little higher than “LO”. For the XNOR function, the output signal in the case of AB = 00, 01, 10 will be complete. While AB = 11, each NMOS will be on and pass the poor “HI” signal level to the output end. The analysis of driving capability is the same as XOR structure. The structures stated above are the versions of 4 transistors without a driving output. Fig:-13: LP XOR gate Fig 14:-: LP XNOR gate With driving outputs with driving outputs By cascading a standard inverter to the LP XNOR circuit, a new type of XOR, as shown in Fig. 13 and Fig 14, will have a driving output, and the signal level at the output end will be perfect in all cases. The same property is present in the XNOR structure. The output waveforms for XOR and XNOR for are given inputs A and B are shown in Fig 15, 16 and Fig 17. Fig-15:-showing the input signals A and B. Fig:-16:- Showing the output of 6-transistor XOR. Fig:-17:- Showing the output of 6-transistor XNOR
  • 4. Pardeep Kumar, Susmita Mishra, Amrita Singh / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.509-513 512 | P a g e 5. Design of Low Power Adder Using LP XOR and XNOR The Low power full adder which takes lesser number of transistors than the all other previously discussed configurations. The major drawback of this method is that although it utilizes lesser number of transistors but it does not provide full swing at the output which is needed to drive any external load. So to avoid this type of problem we will form the XOR by using XNOR followed by an inverter. Figure:-50 shown below is the schematic for the LOW POWER FULL ADDER (LPFA). Figure 50:- LPFA (Low Power Full Adder) schematic Now next we will show the sum and carry output waveform of LPFA (Low Power Full Adder). Figure 51:-Input and Sum output waveform of LPFA Figure 52:- Input and Carry output waveform of LPFA Figure 53:-Showing average current of LPFA Now we will use this average current waveform to find the dynamic power dissipation. Dynamic power dissipation P= (average current) x (voltage supply (Vdd)) SoP = (10.5152µA) x (1.8V) =18.92736 µW 6. Conclusion and Future work As observed from the discussion about the full adder that various designs have their own advantage and disadvantage in terms of area, delay and power consumption. So reducing any of these parameters will leads to a high performance design of full adder design.
  • 5. Pardeep Kumar, Susmita Mishra, Amrita Singh / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248-9622 www.ijera.com Vol. 3, Issue 3, May-Jun 2013, pp.509-513 513 | P a g e Hence we can see that LPFA (Low Power Full Adder) is better than all the other full adder designs in terms of Power consumption, Area (Number of Transistor), Delay, PDP (Power Delay Product. Future work will be focused on the reduction of any of the parameter shown above i.e. Area, Delay and Power. There is also another term i.e. PDP (power delay product) this is generally used for to make a trade-off between power consumption and delay. Table 5:- Showing the comparison of performance Conventional CMOS full Adder, BBL-PT based full adder, HYBRID FULL ADDER and LPFA Desig n Del ay( ps) Static Power Dissipa tion(p W) Dynam ic Power Dissipa tion(µ W) Tota l Pow er(µ W) Tra nsist or Cou nt Conv entio nal CMO S full adder 124 143.59 2 23.744 3 23.74 443 28 BBL- PT logic based full adder 110. 3 126.30 8 22.606 38 22.60 6506 27 Hybri d full adder 142 158.67 4 29.787 1 29.78 7258 30 Low Powe r full adder (LPF A) 101. 2 113.86 9 18.927 36 18.92 7473 26 Figure-5 References [1]. I.Hassoune, A.Neve, J.Legat, and D.Flandre, “Investigation of low-power circuit techniques for a hybrid full-adder cell,” in Proc. PATMOS 2004, pp. 189– 197, Springer-Verlag [2]. A.M.Shams, Tarek k.darwish,” performance analysis of low-power 1-bit CMOS full adder cells IEEE Trans. Very Large Scale Integ. (VLSI) Syst vol. 10 no .1, pp.20-29, feb.2002. [3]. C.-H. Chang, M. Zhang, “A review of 0.18 m full adder performances for tree structured arithmetic circuits,” I EEE Trans. Very Large Scale Integration. (VLSI) Syst. vol. 13, no. 6, pp. 686–694, Jun. 2005. [4]. M.aguir re, M.linares “an alternative logic app roach to implement high speed low power full adder cells”SBBCI SEP 2005 PP. 166-171. [5] A.K. Aggarwal, S. wairya, and S.Tiwari,”a new full adder for high speed low power digital circuits “world science of journal 7 special issue of computer and IT: June 2009,pp.- 138-144. [6] M.Hossein, R.F.Mirzaee, K.Navi and T.Nikoubin” new high performance majority function based full adder cell” 14 inter national CSI conference 2009, pp. 100- 104. [7] A.M.Shams,” A new full adder cell for low power applications“ centre for advance computer studies, university of southwestern Louisiana. [8] D.Radhakrishnan,” low power CMOS full adder” IEE proc: - circuits devices system vol. 148 no. 1 Feb. 2001.pp- 19-24. [9] John p. Uyemura “Introduction to VLSI circuits and systems”