SlideShare a Scribd company logo
1 of 5
Download to read offline
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 115-119, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 115
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing
Techniques
A.Venkatesh1
, N.Rathan2
and R.Saranya3
1Assistant Professor, Department of Electronics and Communication Engineering, Hindusthan Institute of Technology, Coimbatore, India.
2Assistant Professor, Department of Electronics and Communication Engineering, Hindusthan Institute of Technology, Coimbatore, India.
3Assistant Professor, Department of Electronics and Communication Engineering, Hindusthan Institute of Technology, Coimbatore, India.
Article Received: 21 February 2017 Article Accepted: 11 March 2017 Article Published: 13 March 2017
1. INTRODUCTION
The purpose of low power electronics is to compress complex
electronic circuits in minimum area with reduction in power
dissipation and delay. The power requirements of these
devices have increased many folds with the increase in
complexity of ICs. The need of transistors in VLSI design is
duly elucidated by Moore’s Law [1]. Reducing the transistor
count and power of circuits have been focus of researchers for
so many years and is still continuing [2]. Now-a-days logic
circuits are designed using pass transistor logic techniques
[3]. Recently many techniques have been proposed with the
objective of improving speed and power Consumption. The
Double Pass-Transistor Logic, developed by Hitachi
demonstrated a 1.5ns, 32-bit ALU in 0.25μm CMOS
technology and 4.4ns, 54X54 bit multiplier. Similarly many
works have been done recently to design 4x4 bit multiplier.
The main objective of our work is to implement the low power
high speed multiplier based on Sleepy Stack & to make a
detailed comparative study with conventional CMOS
multiplier. Our multiplier uses less number of transistors in
comparison to the conventional multiplier; so that the
propagation delay time & power consumption gets reduced. It
also helps in reducing the layout area thereby decreasing the
entire size of a module where this multiplier sub module is
used. With the objective of further reducing the POWER a
novel design of a Sleepy Stack technique is proposed in the
current paper.
2. DESIGN OF 4x4 MULTIPLIER
A combinational multiplier is a superior model Show casing
how simple logic functions (gates, half adders and full adders)
can be combined to build a much more complex function.
Consider the following example (fig. 1) for binary
multiplication of two positive 4-bit integer values. Here, each
bit in the multiplier is multiplied with the multiplicand. Each
of the four products is aligned (shifted left) according to the
position of the bit in the multiplier that is being multiplied
with the multiplicand.
Here, each bit in the multiplier is multiplied with the
multiplicand. Each of the four products is aligned (shifted
left) according to the position of the bit in the multiplier that is
being multiplied with the multiplicand. The four resulting
products are added to form the final result. Therefore for an
NxN multiplier, the result is 2N bits wide. With binary
numbers, forming the products is much easy. If the multiplier
bit is a 1, then the corresponding product is simply an
appropriately shifted copy of the multiplicand. If the
multiplier bit is a zero, then the product is zero. 1-bit binary
multiplication is thus just an AND operation.
Fig.1. Binary multiplication example (4x4)
To build the NxN multiplier let us take an array of a building
block consisting of an AND gate and a full adder to get the
partial product. The building block is shown in fig. 2 and the
4x4 multiplier in fig. 3 correspondingly. The basic building
block consists of an AND gate for computing locally the
corresponding partial product (X.Y), an input passed into the
block from above (Sum In), and a carry (Cin) passed from a
block diagonally above. It generates a carry out bit (COUT)
and a new sum out bit (Sum Out). Fig. 3 illustrates the
interconnection of these building blocks to construct a 4x4
combinational multiplier. The Ai values are distributed along
block diagonals, and the Bi values are passed along the rows.
ABSTRACT
In this paper, we propose a new technique for implementing a low power high speed multiplier based on Sleepy Stack Technique and consisting of
minimum number of transistors. Multiplier circuits are used comprehensively in Application Specific Integrated Circuits (ASICs). An 4 bit x 4 bit
multiplier has also been implemented using the design of only using basic combinational circuits and its performance has been analyzed and
compared with similar multipliers designed with peer combinational design available in literature. The explored method of implementation achieves
a high speed low power design for the multiplier. Simulated results indicate the superior performance of the proposed technique over conventional
CMOS multiplier. Detailed comparison of simulated results for the conventional and present method of implementation is presented.
Index Terms: 8-T Full Adder, 4x4 Multiplier, 2-T MUX, Pass transistor logic, 3-T XOR and Sleepy Stack.
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 115-119, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 116
Fig.2. Building block of multiplier
Fig. 3. 4x4 multiplier using AND gate, Half adder and full
adder
To design a low power 4x4 multiplier the approach is to
design the circuits with minimum nos. of transistors. Here the
basic building blocks (half adder, full adder & AND gate) of
the 4x4 multiplier shown in fig. 3 are constructed with
minimum no of transistors which are discussed in section 3.
3. ARCHITECTURE OF 8-T FA, 2-T AND GATE 3-T
XOR GATE & 5-T HA
This work presents adder circuits using pass transistor logic
based MUX & XOR gate, which contains lesser no. of
transistors and achieving better performance. This full adder
using pass transistor logic has advantages over CMOS and is
characterized by excellent speed and low power. In
electronics, Pass-Transistor-Logic (PTL) describes several
logic families used in the design of integrated circuits. It
reduces the count of transistors used to make different logic
circuits, by eliminating redundant transistors. Transistors are
used as switches to pass logic levels between nodes of a
circuit, instead of as switches connected directly to supply
voltages. This reduces the number of active devices, but has
the disadvantage that output levels can be no higher than the
input level. Each transistor in series has a lower voltage at its
output than at its input. For proper operation, design rules
define the arrangement of circuits, so that sneak paths, charge
sharing, and slow switching can be avoided. Double Pass
transistor Logic (DPL) eliminates some of the inverter stages
required for Complementary-Pass-transistor- Logic (CPL) by
using both N and P channel transistors, with dual logic paths
for every function. While it has high speed due to low input
capacitance, it has only limited capacity to drive a load. Pass
transistor logic has become important for the design of
low-power high-performance digital circuits due to the
smaller node capacitances and reduced transistors count it
offers. However, the acceptance and application of this logic
depends on the availability of supporting automation tools,
e.g. timing simulators, which can accurately analyse the
performance of large circuits at a speed, significantly faster
than that of SPICE based tools.
3.1 8-T Full Adder (FA)
The proposed 2T XOR gate has been used to design a 6T full
adder. The two outputs SUM and CARRY (𝐶𝑜𝑢𝑡) can be
generated based on the Boolean equations of full adder as
follows:
𝑆𝑢𝑚 = 𝐴⨁𝐵⨁𝐶𝑖𝑛
𝐶𝑜𝑢𝑡 = 𝐵.𝐶𝑖𝑛 + 𝐶𝑖𝑛.𝐴 + 𝐴.𝐵 = 𝐶𝑖𝑛. (𝐴⨁𝐵) + 𝐴.𝐵
The logic circuit of typical full adder is given in Figure 4. The
exclusive O Ring realized using wired logic of 3T XOR gate
as depicted in equation give rise to sum output and the final
carry output is given by using M1 and M2 pass transistors
given by equation. The W/L ratio of M3 and M4 transistors
are W=300 nm, L=60 nm in 65-nm technology. The W and L
of transistors from M1 to M4 is same as defined for XOR
gates. The schematic of the proposed 8 transistor full adder is
shown in Figure 9. A reverse bias voltage of 320 mV is kept in
order to concisely represent the appropriate logic high and
logic low levels in the output of simulated circuit. Evidently,
for the three input combination there is two stages delay for
the sum and carry output and the delay for carry output is less
than then As two XOR gates are used with reverse bias, there
is decline in the logic level with the cascading of exclusive
OR gates mainly perturbed at A=1 and B=1. The approach of
using minimum width and length is for minimizing the power
consumption in the circuit.
Fig. 4. Logic circuit of full adder
The first component required to design a full adder with 8
transistors is XOR gate. Conventional XOR gate can be
fabricated using Transmission Gate (TG) logic which needs
more than 3 transistors. But here is the design of a XOR gate
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 115-119, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 117
with 3 transistors as shown in fig.5 below. The second
component required to design a full adder with 2 XOR gate to
implement the sum out & another two input MUX to
implement the carry out. The basic structure of the 2:1 MUX
using pass gate transistor logic and implement sleepy stack
technique is shown in fig. 8. In this configuration we have
connected PMOS and NMOS along with a SEL line, as in
MUX.
Fig. 5. Basic view of 3T XOR gate
Fig.6. Basic view of 2T MUX
Sleepy Stack Approach
The sleepy stack technique divides existing transistors into
two half size transistors like the stack approach. Then sleep
transistors are added in parallel to one of the divided
transistors.
Fig.7. Sleepy Stack Approach
Figure 7 shows its structure. During sleep mode, sleep
transistors are turned off and stacked transistors suppress
leakage current while saving state.
Each sleep transistor, placed in parallel to the one of the
stacked transistors, reduces resistance of the path, so delay is
decreased during active mode.
However, area penalty is a significant matter for this approach
since every transistor is replaced by three transistors and since
additional wires are added for S and S‟, which are sleep
signals.
It reduces noise and power so we implement the sleepy stack
technique in AND gate. For build a more efficient 4x4
multiplier. Basic view of sleepy stack technique using And
gate shown in figure.
Fig. 8. Basic view of 2T MUX with Sleepy Stack Approach
Using 2 input MUX & 2 input XOR gate we can design a 8-T
FA as shown in fig. 9 below,
Fig. 9. 8-T FA based on MUX & XOR gates
3.2 5-T Half Adder (HA)
As already we have designed 3-T XOR and 2-T AND gate,
now one half adder can be easily designed with five nos. of
transistors. Fig. 10 shows 5-T half adder block diagram.
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 115-119, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 118
Fig.10. 5-T HA based 3T XOR & 2T AND
There are three major sources of power dissipation in a digital
CMOS circuit: logic transition, short-circuit current and
leakage current. The short-circuit current is the direct current
passing through the supply and the ground, when both the
NMOS and the PMOS transistors are simultaneously active.
As the proposed 8-T FA and 5T HA do not direct connections
to or port (voltage connections to the back gate terminals are
not considered), the probability of a direct path formation
from positive voltage supply to the ground during switching
can be substantially reduced that is, and the power
consumption due to short circuit current is considered
negligibly small.
Furthermore, in the new adder circuits, all of its internal gate
nodes are directly excited by the fresh input signals (and),
leading to a much faster transition (low rise and fall times) in
its output signals. As a result, the power consumption of the
following buffer stage can benefit from faster/cleaner Sum
and Cout outputs.
4. SIMULATION RESULTS & ANALYSIS
For testing the proposed multiplier we provide the input
combinations as bit parameter of example shown in fig. 1. All
the combinations are introduced during the time period
between 10ns to 20ns.
Thus for the outputs we also concentrate over that particular
time period only. We run the simulation over both
conventional & proposed two different multipliers
architecture. The transient responses of all the circuits are
shown below in fig.11 & fig.12 respectively. After running
the simulation the power consumption and delay of the
circuits are tested and compared.
From the simulation it can be easily understood that the
proposed multipliers have the same functional response as
like the conventional multiplier. The power & timing
behaviour is tabulated in the table 1,
Regarding area consideration it can be easily understood that
with lesser no. of transistor count compared with conventional
circuit multiplier core will be much lower size compared to
10x16-T 4x4 multiplier and 34x16-T CMOS 4x4 multiplier.
Fig. 11 Transient response of 90-T conventional 4x4
Multiplier Ai: one multiplicand input (4 bits), Bi: one
multiplier input (4 bits), Pi: partial product
Fig. 12 Transient response of proposed 4x4 Multiplier Ai: one
multiplicand input (4 bits), Bi: one multiplier input (4 bits),
Pi: partial product.
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 115-119, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 119
Table 1. Comparison between Conventional & Proposed
Multiplier
Fig. 13. Power consumption of (a) conventional CMOS
multiplier; (b) Power consumption of conventional 90-T
multiplier and (c) proposed 4x4 multiplier.
5. CONCLUSION
From the above results it can be concluded that our proposed
multiplier has got better performance in terms of speed, power
and area consideration in comparison with the conventional
CMOS multiplier. It turns out that in contrast to older process
technologies, this approach is more suitable for industrial
usage in advanced process technologies.
REFERENCES
[1] V.Gowrishankar, D.Manoranjitham and P. Jagadeesh,
“Efficient FIR filter design using modified carry select adder
& Wallace tree multiplier”, International Journal of Science,
Engineering and Technology Research, Vol. 2, pp. 703-711,
March 2013.
[2] Hina Malvina, Sudha Nayar, C.M Roy, “ A New
Approach For Leakage Power Reduction Techniques in Deep
Submicron Technologies in CMOS circuit for VLSI
Applications” International Journal of Advanced Research
in Computer Science and Software Engineering, Volume 3,
Issue 5, May 2013.
[3] Y.Berg, M. Azadmehr, "Novel ultra-low-voltage and
high-speed CMOS pass transistor logic", Proceedings of the
IEEE, Faible Tension Faible Consommation (FTFC), Paris,
2012.
[4] A.Daniel Raj, S.Vijayalakshmi, P.Sathyamoorthy,
"Efficient Design of 4-bit array multiplier using GDI low
power cell", International Journal of Computer Technology
and Electronics Engineering (IJCTEE), Volume 2, Issue 6,
PP-47-51, December 2012.
[5] Vijayasalini. P, Nirmal kumar. R, Dhivya. S. P, Dr. G.M.
Tamilselvan, "Design and Analysis of Low Power Multipliers
and 4:2 Compressor Using Adiabatic Logic", International
Journal of Emerging Technology and Advanced Engineering,
Volume 3, Issue 1, PP-288-292, January 2013.
[6] Shubhajit Roy Chowdhury, Aritra Banerjee, Aniruddha
Roy, Hiranmay Saha, “A high Speed 8 Transistor Full Adder
Design using Novel 3 Transistor XOR Gates”, International
Journal of Electronics, Circuits and Systems, pp. 218, 2008.
[7] N.Anuar, Y. Takahashi, T. Sekine "4×4-bit array two
phase clocked adiabatic static CMOS logic multiplier with
new XOR", IEEE VLSI System on Chip Conference
(VLSI-SoC), PP-364-368, 2010.
[8] Hung Tien Bui, Yuke Wang and Ying Tao Jiang, “Design
and Analysis of low Power 10 Transistor Full adders Using
Novel XOR/XNOR gates”, IEEE Transactions on Circuits
And Systems- II, : Analog and Digital Signal Processing,
Vol.49, No.1, January 2002.
[9] J.C. Park, V. J. Mooney III and P. Pfeiffenberger, “Sleepy
Stack Reduction of Leakage Power,” Proceeding of the
International Workshop on Power and Timing Modeling,
Optimization and Simulation, pp. 148-158, September 2004.
[10] Clive Maxfield, “Bebop to the Boolean boogie: an
unconventional guide to electronics Newness”, 2008, pp.
423-426
[11] Jaume Segura, Charles F. Hawkins “CMOS electronics:
how it works, how it fails”, Wiley-IEEE, 2004, page 132.
[12] Yingtao Jiang, Abdulkarim Al-Sheraidah, Yuke Wang,
Edwin Sha, and Jin-Gyun Chung, “A Novel
Multiplexer-Based Low-Power Full Adder” IEEE
Transaction on circuits and systems-II: Express Brief, Vol.
51, No. 7,p-345, July- 2004.
[13] J. Park, “Sleepy Stack: a New Approach to Low Power
VLSI and Memory,” Ph.D. Dissertation, School of Electrical
and Computer Engineering, Georgia Institute of Technology,
2005. [Online]. Available http://etd.gatech.edu/theses.

More Related Content

What's hot

Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitAssociate Professor in VSB Coimbatore
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)ijceronline
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesIOSRJVSP
 
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGAVLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGAIOSR Journals
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select addereSAT Publishing House
 
Low Power Implementation of Booth’s Multiplier using Reversible Gates
Low Power Implementation of Booth’s Multiplier using Reversible GatesLow Power Implementation of Booth’s Multiplier using Reversible Gates
Low Power Implementation of Booth’s Multiplier using Reversible GatesIJMTST Journal
 
IRJET - Design and Implementation of FFT using Compressor with XOR Gate Topology
IRJET - Design and Implementation of FFT using Compressor with XOR Gate TopologyIRJET - Design and Implementation of FFT using Compressor with XOR Gate Topology
IRJET - Design and Implementation of FFT using Compressor with XOR Gate TopologyIRJET Journal
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)ijceronline
 
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...IJEEE
 
Optimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversibleOptimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversibleeSAT Publishing House
 
Optimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gatesOptimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gateseSAT Journals
 
Design and Implementation of Boolean Functions using Multiplexer and also usi...
Design and Implementation of Boolean Functions using Multiplexer and also usi...Design and Implementation of Boolean Functions using Multiplexer and also usi...
Design and Implementation of Boolean Functions using Multiplexer and also usi...IRJET Journal
 
High Speed Low Power Veterbi Decoder Design for TCM Decoders
High Speed Low Power Veterbi Decoder Design for TCM DecodersHigh Speed Low Power Veterbi Decoder Design for TCM Decoders
High Speed Low Power Veterbi Decoder Design for TCM Decodersijsrd.com
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) inventionjournals
 

What's hot (19)

Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
 
Eq36876880
Eq36876880Eq36876880
Eq36876880
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
 
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGAVLSI Implementation of High Speed & Low Power Multiplier in FPGA
VLSI Implementation of High Speed & Low Power Multiplier in FPGA
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adder
 
Low Power Implementation of Booth’s Multiplier using Reversible Gates
Low Power Implementation of Booth’s Multiplier using Reversible GatesLow Power Implementation of Booth’s Multiplier using Reversible Gates
Low Power Implementation of Booth’s Multiplier using Reversible Gates
 
M367578
M367578M367578
M367578
 
IRJET - Design and Implementation of FFT using Compressor with XOR Gate Topology
IRJET - Design and Implementation of FFT using Compressor with XOR Gate TopologyIRJET - Design and Implementation of FFT using Compressor with XOR Gate Topology
IRJET - Design and Implementation of FFT using Compressor with XOR Gate Topology
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...
Performance Analysis of Full Adder Based 2- Bit Comparator using Different De...
 
SFQ MULTIPLIER
SFQ MULTIPLIERSFQ MULTIPLIER
SFQ MULTIPLIER
 
Optimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversibleOptimized study of one bit comparator using reversible
Optimized study of one bit comparator using reversible
 
Optimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gatesOptimized study of one bit comparator using reversible logic gates
Optimized study of one bit comparator using reversible logic gates
 
Mukherjee2015
Mukherjee2015Mukherjee2015
Mukherjee2015
 
Design and Implementation of Boolean Functions using Multiplexer and also usi...
Design and Implementation of Boolean Functions using Multiplexer and also usi...Design and Implementation of Boolean Functions using Multiplexer and also usi...
Design and Implementation of Boolean Functions using Multiplexer and also usi...
 
High Speed Low Power Veterbi Decoder Design for TCM Decoders
High Speed Low Power Veterbi Decoder Design for TCM DecodersHigh Speed Low Power Veterbi Decoder Design for TCM Decoders
High Speed Low Power Veterbi Decoder Design for TCM Decoders
 
Gn3311521155
Gn3311521155Gn3311521155
Gn3311521155
 
International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI) International Journal of Engineering and Science Invention (IJESI)
International Journal of Engineering and Science Invention (IJESI)
 

Viewers also liked

Design of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch AntennaDesign of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch AntennaAssociate Professor in VSB Coimbatore
 
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode EnvironmentVesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode EnvironmentAssociate Professor in VSB Coimbatore
 
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...Associate Professor in VSB Coimbatore
 
Artificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor NetworksArtificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor NetworksAssociate Professor in VSB Coimbatore
 
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyDesign of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyAssociate Professor in VSB Coimbatore
 
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAn Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAssociate Professor in VSB Coimbatore
 
Implementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino LogicImplementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino LogicAssociate Professor in VSB Coimbatore
 
Real Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO ProcessorReal Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO ProcessorAssociate Professor in VSB Coimbatore
 
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...Associate Professor in VSB Coimbatore
 
Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...Associate Professor in VSB Coimbatore
 
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...Associate Professor in VSB Coimbatore
 
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...Associate Professor in VSB Coimbatore
 

Viewers also liked (20)

Clean India Android APP
Clean India Android APPClean India Android APP
Clean India Android APP
 
Design of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch AntennaDesign of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch Antenna
 
Industrial Safety Measurement using Sensors and Voice Announcement
Industrial Safety Measurement using Sensors and Voice AnnouncementIndustrial Safety Measurement using Sensors and Voice Announcement
Industrial Safety Measurement using Sensors and Voice Announcement
 
Pisciculture Environment Control Using Automated Monitoring System
Pisciculture Environment Control Using Automated Monitoring SystemPisciculture Environment Control Using Automated Monitoring System
Pisciculture Environment Control Using Automated Monitoring System
 
Smart Phone-Based Peak Expiratory Flow Meter
Smart Phone-Based Peak Expiratory Flow MeterSmart Phone-Based Peak Expiratory Flow Meter
Smart Phone-Based Peak Expiratory Flow Meter
 
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode EnvironmentVesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
 
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
 
Industrial Monitoring System Using Wireless Sensor Networks
Industrial Monitoring System Using Wireless Sensor NetworksIndustrial Monitoring System Using Wireless Sensor Networks
Industrial Monitoring System Using Wireless Sensor Networks
 
A Virtual Reality Based Driving System
A Virtual Reality Based Driving SystemA Virtual Reality Based Driving System
A Virtual Reality Based Driving System
 
Artificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor NetworksArtificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor Networks
 
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyDesign of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
 
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAn Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
 
Implementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino LogicImplementation of High Performance Carry Save Adder Using Domino Logic
Implementation of High Performance Carry Save Adder Using Domino Logic
 
Real Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO ProcessorReal Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
 
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
 
Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...
 
Modeling and Simulation of Memristor using SPICE Model
Modeling and Simulation of Memristor using SPICE ModelModeling and Simulation of Memristor using SPICE Model
Modeling and Simulation of Memristor using SPICE Model
 
Safety for School Children Transport Enhancement System
Safety for School Children Transport Enhancement SystemSafety for School Children Transport Enhancement System
Safety for School Children Transport Enhancement System
 
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...
Review on Natural Fiber in Various Pretreatment Conditions for Preparing Perf...
 
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...
Filler Material Reinforced with Natural Fiber of Mechanical Properties and Mi...
 

Similar to Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Techniques

LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORMLOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORMVLSICS Design
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET Journal
 
Design of carry save adder using transmission gate logic
Design of carry save adder using transmission gate logicDesign of carry save adder using transmission gate logic
Design of carry save adder using transmission gate logicijiert bestjournal
 
A comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleA comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleeSAT Publishing House
 
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSTHE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSIJCSEA Journal
 
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSTHE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSIJCSEA Journal
 
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSTHE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSIJCSEA Journal
 
Design of area and power efficient half adder using transmission gate
Design of area and power efficient half adder using transmission gateDesign of area and power efficient half adder using transmission gate
Design of area and power efficient half adder using transmission gateeSAT Journals
 
Design of Quaternary Logical Circuit Using Voltage and Current Mode Logic
Design of Quaternary Logical Circuit Using Voltage and Current Mode LogicDesign of Quaternary Logical Circuit Using Voltage and Current Mode Logic
Design of Quaternary Logical Circuit Using Voltage and Current Mode LogicVLSICS Design
 
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNSLOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNScscpconf
 
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNSLOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNScsandit
 
Low power area gdi & ptl techniques based full adder designs
Low power area gdi & ptl techniques based full adder designsLow power area gdi & ptl techniques based full adder designs
Low power area gdi & ptl techniques based full adder designscsandit
 
Performance Analysis of Proposed Full Adder Cell at Submicron Technologies
Performance Analysis of Proposed Full Adder Cell at Submicron TechnologiesPerformance Analysis of Proposed Full Adder Cell at Submicron Technologies
Performance Analysis of Proposed Full Adder Cell at Submicron TechnologiesIJMTST Journal
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Editor IJARCET
 
Comparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsComparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsIOSR Journals
 
Efficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyEfficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyIJARIIT
 
Efficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptEfficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptIJARIIT
 

Similar to Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Techniques (20)

LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORMLOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
LOW POWER-AREA DESIGNS OF 1BIT FULL ADDER IN CADENCE VIRTUOSO PLATFORM
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
 
Design of carry save adder using transmission gate logic
Design of carry save adder using transmission gate logicDesign of carry save adder using transmission gate logic
Design of carry save adder using transmission gate logic
 
Batch32 seminar ppt.pptx
Batch32 seminar ppt.pptxBatch32 seminar ppt.pptx
Batch32 seminar ppt.pptx
 
A comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic styleA comparative study of full adder using static cmos logic style
A comparative study of full adder using static cmos logic style
 
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSTHE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
 
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSTHE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
 
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERSTHE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
THE INFLUENCE OF SILICON AREA ON THE PERFORMANCE OF THE FULL ADDERS
 
Design of area and power efficient half adder using transmission gate
Design of area and power efficient half adder using transmission gateDesign of area and power efficient half adder using transmission gate
Design of area and power efficient half adder using transmission gate
 
Design of Quaternary Logical Circuit Using Voltage and Current Mode Logic
Design of Quaternary Logical Circuit Using Voltage and Current Mode LogicDesign of Quaternary Logical Circuit Using Voltage and Current Mode Logic
Design of Quaternary Logical Circuit Using Voltage and Current Mode Logic
 
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNSLOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
 
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNSLOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
LOW POWER-AREA GDI & PTL TECHNIQUES BASED FULL ADDER DESIGNS
 
Low power area gdi & ptl techniques based full adder designs
Low power area gdi & ptl techniques based full adder designsLow power area gdi & ptl techniques based full adder designs
Low power area gdi & ptl techniques based full adder designs
 
Performance Analysis of Proposed Full Adder Cell at Submicron Technologies
Performance Analysis of Proposed Full Adder Cell at Submicron TechnologiesPerformance Analysis of Proposed Full Adder Cell at Submicron Technologies
Performance Analysis of Proposed Full Adder Cell at Submicron Technologies
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362
 
Comparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsComparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder Circuits
 
Efficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyEfficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technology
 
Ch33509513
Ch33509513Ch33509513
Ch33509513
 
Ch33509513
Ch33509513Ch33509513
Ch33509513
 
Efficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using ptEfficient implementation of 2 bit magnitude comparator using pt
Efficient implementation of 2 bit magnitude comparator using pt
 

More from Associate Professor in VSB Coimbatore

Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...Associate Professor in VSB Coimbatore
 
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...Associate Professor in VSB Coimbatore
 
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...Associate Professor in VSB Coimbatore
 
A Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee RetentionA Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee RetentionAssociate Professor in VSB Coimbatore
 
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...Associate Professor in VSB Coimbatore
 
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...Associate Professor in VSB Coimbatore
 
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...Associate Professor in VSB Coimbatore
 
A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...Associate Professor in VSB Coimbatore
 
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture Associate Professor in VSB Coimbatore
 
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...Associate Professor in VSB Coimbatore
 
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...Associate Professor in VSB Coimbatore
 
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...Associate Professor in VSB Coimbatore
 
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...Associate Professor in VSB Coimbatore
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal Associate Professor in VSB Coimbatore
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal Associate Professor in VSB Coimbatore
 
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...Associate Professor in VSB Coimbatore
 

More from Associate Professor in VSB Coimbatore (20)

Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
 
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
 
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
 
A Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee RetentionA Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee Retention
 
Digital Planting Pot for Smart Irrigation
Digital Planting Pot for Smart Irrigation  Digital Planting Pot for Smart Irrigation
Digital Planting Pot for Smart Irrigation
 
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
 
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
 
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
 
A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...
 
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
 
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
 
Ecological Footprint of Food Consumption in Ijebu Ode, Nigeria
Ecological Footprint of Food Consumption in Ijebu Ode, NigeriaEcological Footprint of Food Consumption in Ijebu Ode, Nigeria
Ecological Footprint of Food Consumption in Ijebu Ode, Nigeria
 
Mass & Quark Symmetry
Mass & Quark SymmetryMass & Quark Symmetry
Mass & Quark Symmetry
 
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
 
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
 
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal
 
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
 
Hepatitis and its Transmission Through Needlestick Injuries
Hepatitis and its Transmission Through Needlestick Injuries Hepatitis and its Transmission Through Needlestick Injuries
Hepatitis and its Transmission Through Needlestick Injuries
 

Recently uploaded

Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
High Profile Call Girls Nashik Megha 7001305949 Independent Escort Service Na...
High Profile Call Girls Nashik Megha 7001305949 Independent Escort Service Na...High Profile Call Girls Nashik Megha 7001305949 Independent Escort Service Na...
High Profile Call Girls Nashik Megha 7001305949 Independent Escort Service Na...Call Girls in Nagpur High Profile
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)Suman Mia
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 

Recently uploaded (20)

Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
High Profile Call Girls Nashik Megha 7001305949 Independent Escort Service Na...
High Profile Call Girls Nashik Megha 7001305949 Independent Escort Service Na...High Profile Call Girls Nashik Megha 7001305949 Independent Escort Service Na...
High Profile Call Girls Nashik Megha 7001305949 Independent Escort Service Na...
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)Software Development Life Cycle By  Team Orange (Dept. of Pharmacy)
Software Development Life Cycle By Team Orange (Dept. of Pharmacy)
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 

Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Techniques

  • 1. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 115-119, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 115 Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Techniques A.Venkatesh1 , N.Rathan2 and R.Saranya3 1Assistant Professor, Department of Electronics and Communication Engineering, Hindusthan Institute of Technology, Coimbatore, India. 2Assistant Professor, Department of Electronics and Communication Engineering, Hindusthan Institute of Technology, Coimbatore, India. 3Assistant Professor, Department of Electronics and Communication Engineering, Hindusthan Institute of Technology, Coimbatore, India. Article Received: 21 February 2017 Article Accepted: 11 March 2017 Article Published: 13 March 2017 1. INTRODUCTION The purpose of low power electronics is to compress complex electronic circuits in minimum area with reduction in power dissipation and delay. The power requirements of these devices have increased many folds with the increase in complexity of ICs. The need of transistors in VLSI design is duly elucidated by Moore’s Law [1]. Reducing the transistor count and power of circuits have been focus of researchers for so many years and is still continuing [2]. Now-a-days logic circuits are designed using pass transistor logic techniques [3]. Recently many techniques have been proposed with the objective of improving speed and power Consumption. The Double Pass-Transistor Logic, developed by Hitachi demonstrated a 1.5ns, 32-bit ALU in 0.25μm CMOS technology and 4.4ns, 54X54 bit multiplier. Similarly many works have been done recently to design 4x4 bit multiplier. The main objective of our work is to implement the low power high speed multiplier based on Sleepy Stack & to make a detailed comparative study with conventional CMOS multiplier. Our multiplier uses less number of transistors in comparison to the conventional multiplier; so that the propagation delay time & power consumption gets reduced. It also helps in reducing the layout area thereby decreasing the entire size of a module where this multiplier sub module is used. With the objective of further reducing the POWER a novel design of a Sleepy Stack technique is proposed in the current paper. 2. DESIGN OF 4x4 MULTIPLIER A combinational multiplier is a superior model Show casing how simple logic functions (gates, half adders and full adders) can be combined to build a much more complex function. Consider the following example (fig. 1) for binary multiplication of two positive 4-bit integer values. Here, each bit in the multiplier is multiplied with the multiplicand. Each of the four products is aligned (shifted left) according to the position of the bit in the multiplier that is being multiplied with the multiplicand. Here, each bit in the multiplier is multiplied with the multiplicand. Each of the four products is aligned (shifted left) according to the position of the bit in the multiplier that is being multiplied with the multiplicand. The four resulting products are added to form the final result. Therefore for an NxN multiplier, the result is 2N bits wide. With binary numbers, forming the products is much easy. If the multiplier bit is a 1, then the corresponding product is simply an appropriately shifted copy of the multiplicand. If the multiplier bit is a zero, then the product is zero. 1-bit binary multiplication is thus just an AND operation. Fig.1. Binary multiplication example (4x4) To build the NxN multiplier let us take an array of a building block consisting of an AND gate and a full adder to get the partial product. The building block is shown in fig. 2 and the 4x4 multiplier in fig. 3 correspondingly. The basic building block consists of an AND gate for computing locally the corresponding partial product (X.Y), an input passed into the block from above (Sum In), and a carry (Cin) passed from a block diagonally above. It generates a carry out bit (COUT) and a new sum out bit (Sum Out). Fig. 3 illustrates the interconnection of these building blocks to construct a 4x4 combinational multiplier. The Ai values are distributed along block diagonals, and the Bi values are passed along the rows. ABSTRACT In this paper, we propose a new technique for implementing a low power high speed multiplier based on Sleepy Stack Technique and consisting of minimum number of transistors. Multiplier circuits are used comprehensively in Application Specific Integrated Circuits (ASICs). An 4 bit x 4 bit multiplier has also been implemented using the design of only using basic combinational circuits and its performance has been analyzed and compared with similar multipliers designed with peer combinational design available in literature. The explored method of implementation achieves a high speed low power design for the multiplier. Simulated results indicate the superior performance of the proposed technique over conventional CMOS multiplier. Detailed comparison of simulated results for the conventional and present method of implementation is presented. Index Terms: 8-T Full Adder, 4x4 Multiplier, 2-T MUX, Pass transistor logic, 3-T XOR and Sleepy Stack.
  • 2. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 115-119, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 116 Fig.2. Building block of multiplier Fig. 3. 4x4 multiplier using AND gate, Half adder and full adder To design a low power 4x4 multiplier the approach is to design the circuits with minimum nos. of transistors. Here the basic building blocks (half adder, full adder & AND gate) of the 4x4 multiplier shown in fig. 3 are constructed with minimum no of transistors which are discussed in section 3. 3. ARCHITECTURE OF 8-T FA, 2-T AND GATE 3-T XOR GATE & 5-T HA This work presents adder circuits using pass transistor logic based MUX & XOR gate, which contains lesser no. of transistors and achieving better performance. This full adder using pass transistor logic has advantages over CMOS and is characterized by excellent speed and low power. In electronics, Pass-Transistor-Logic (PTL) describes several logic families used in the design of integrated circuits. It reduces the count of transistors used to make different logic circuits, by eliminating redundant transistors. Transistors are used as switches to pass logic levels between nodes of a circuit, instead of as switches connected directly to supply voltages. This reduces the number of active devices, but has the disadvantage that output levels can be no higher than the input level. Each transistor in series has a lower voltage at its output than at its input. For proper operation, design rules define the arrangement of circuits, so that sneak paths, charge sharing, and slow switching can be avoided. Double Pass transistor Logic (DPL) eliminates some of the inverter stages required for Complementary-Pass-transistor- Logic (CPL) by using both N and P channel transistors, with dual logic paths for every function. While it has high speed due to low input capacitance, it has only limited capacity to drive a load. Pass transistor logic has become important for the design of low-power high-performance digital circuits due to the smaller node capacitances and reduced transistors count it offers. However, the acceptance and application of this logic depends on the availability of supporting automation tools, e.g. timing simulators, which can accurately analyse the performance of large circuits at a speed, significantly faster than that of SPICE based tools. 3.1 8-T Full Adder (FA) The proposed 2T XOR gate has been used to design a 6T full adder. The two outputs SUM and CARRY (𝐶𝑜𝑢𝑡) can be generated based on the Boolean equations of full adder as follows: 𝑆𝑢𝑚 = 𝐴⨁𝐵⨁𝐶𝑖𝑛 𝐶𝑜𝑢𝑡 = 𝐵.𝐶𝑖𝑛 + 𝐶𝑖𝑛.𝐴 + 𝐴.𝐵 = 𝐶𝑖𝑛. (𝐴⨁𝐵) + 𝐴.𝐵 The logic circuit of typical full adder is given in Figure 4. The exclusive O Ring realized using wired logic of 3T XOR gate as depicted in equation give rise to sum output and the final carry output is given by using M1 and M2 pass transistors given by equation. The W/L ratio of M3 and M4 transistors are W=300 nm, L=60 nm in 65-nm technology. The W and L of transistors from M1 to M4 is same as defined for XOR gates. The schematic of the proposed 8 transistor full adder is shown in Figure 9. A reverse bias voltage of 320 mV is kept in order to concisely represent the appropriate logic high and logic low levels in the output of simulated circuit. Evidently, for the three input combination there is two stages delay for the sum and carry output and the delay for carry output is less than then As two XOR gates are used with reverse bias, there is decline in the logic level with the cascading of exclusive OR gates mainly perturbed at A=1 and B=1. The approach of using minimum width and length is for minimizing the power consumption in the circuit. Fig. 4. Logic circuit of full adder The first component required to design a full adder with 8 transistors is XOR gate. Conventional XOR gate can be fabricated using Transmission Gate (TG) logic which needs more than 3 transistors. But here is the design of a XOR gate
  • 3. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 115-119, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 117 with 3 transistors as shown in fig.5 below. The second component required to design a full adder with 2 XOR gate to implement the sum out & another two input MUX to implement the carry out. The basic structure of the 2:1 MUX using pass gate transistor logic and implement sleepy stack technique is shown in fig. 8. In this configuration we have connected PMOS and NMOS along with a SEL line, as in MUX. Fig. 5. Basic view of 3T XOR gate Fig.6. Basic view of 2T MUX Sleepy Stack Approach The sleepy stack technique divides existing transistors into two half size transistors like the stack approach. Then sleep transistors are added in parallel to one of the divided transistors. Fig.7. Sleepy Stack Approach Figure 7 shows its structure. During sleep mode, sleep transistors are turned off and stacked transistors suppress leakage current while saving state. Each sleep transistor, placed in parallel to the one of the stacked transistors, reduces resistance of the path, so delay is decreased during active mode. However, area penalty is a significant matter for this approach since every transistor is replaced by three transistors and since additional wires are added for S and S‟, which are sleep signals. It reduces noise and power so we implement the sleepy stack technique in AND gate. For build a more efficient 4x4 multiplier. Basic view of sleepy stack technique using And gate shown in figure. Fig. 8. Basic view of 2T MUX with Sleepy Stack Approach Using 2 input MUX & 2 input XOR gate we can design a 8-T FA as shown in fig. 9 below, Fig. 9. 8-T FA based on MUX & XOR gates 3.2 5-T Half Adder (HA) As already we have designed 3-T XOR and 2-T AND gate, now one half adder can be easily designed with five nos. of transistors. Fig. 10 shows 5-T half adder block diagram.
  • 4. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 115-119, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 118 Fig.10. 5-T HA based 3T XOR & 2T AND There are three major sources of power dissipation in a digital CMOS circuit: logic transition, short-circuit current and leakage current. The short-circuit current is the direct current passing through the supply and the ground, when both the NMOS and the PMOS transistors are simultaneously active. As the proposed 8-T FA and 5T HA do not direct connections to or port (voltage connections to the back gate terminals are not considered), the probability of a direct path formation from positive voltage supply to the ground during switching can be substantially reduced that is, and the power consumption due to short circuit current is considered negligibly small. Furthermore, in the new adder circuits, all of its internal gate nodes are directly excited by the fresh input signals (and), leading to a much faster transition (low rise and fall times) in its output signals. As a result, the power consumption of the following buffer stage can benefit from faster/cleaner Sum and Cout outputs. 4. SIMULATION RESULTS & ANALYSIS For testing the proposed multiplier we provide the input combinations as bit parameter of example shown in fig. 1. All the combinations are introduced during the time period between 10ns to 20ns. Thus for the outputs we also concentrate over that particular time period only. We run the simulation over both conventional & proposed two different multipliers architecture. The transient responses of all the circuits are shown below in fig.11 & fig.12 respectively. After running the simulation the power consumption and delay of the circuits are tested and compared. From the simulation it can be easily understood that the proposed multipliers have the same functional response as like the conventional multiplier. The power & timing behaviour is tabulated in the table 1, Regarding area consideration it can be easily understood that with lesser no. of transistor count compared with conventional circuit multiplier core will be much lower size compared to 10x16-T 4x4 multiplier and 34x16-T CMOS 4x4 multiplier. Fig. 11 Transient response of 90-T conventional 4x4 Multiplier Ai: one multiplicand input (4 bits), Bi: one multiplier input (4 bits), Pi: partial product Fig. 12 Transient response of proposed 4x4 Multiplier Ai: one multiplicand input (4 bits), Bi: one multiplier input (4 bits), Pi: partial product.
  • 5. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 115-119, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 119 Table 1. Comparison between Conventional & Proposed Multiplier Fig. 13. Power consumption of (a) conventional CMOS multiplier; (b) Power consumption of conventional 90-T multiplier and (c) proposed 4x4 multiplier. 5. CONCLUSION From the above results it can be concluded that our proposed multiplier has got better performance in terms of speed, power and area consideration in comparison with the conventional CMOS multiplier. It turns out that in contrast to older process technologies, this approach is more suitable for industrial usage in advanced process technologies. REFERENCES [1] V.Gowrishankar, D.Manoranjitham and P. Jagadeesh, “Efficient FIR filter design using modified carry select adder & Wallace tree multiplier”, International Journal of Science, Engineering and Technology Research, Vol. 2, pp. 703-711, March 2013. [2] Hina Malvina, Sudha Nayar, C.M Roy, “ A New Approach For Leakage Power Reduction Techniques in Deep Submicron Technologies in CMOS circuit for VLSI Applications” International Journal of Advanced Research in Computer Science and Software Engineering, Volume 3, Issue 5, May 2013. [3] Y.Berg, M. Azadmehr, "Novel ultra-low-voltage and high-speed CMOS pass transistor logic", Proceedings of the IEEE, Faible Tension Faible Consommation (FTFC), Paris, 2012. [4] A.Daniel Raj, S.Vijayalakshmi, P.Sathyamoorthy, "Efficient Design of 4-bit array multiplier using GDI low power cell", International Journal of Computer Technology and Electronics Engineering (IJCTEE), Volume 2, Issue 6, PP-47-51, December 2012. [5] Vijayasalini. P, Nirmal kumar. R, Dhivya. S. P, Dr. G.M. Tamilselvan, "Design and Analysis of Low Power Multipliers and 4:2 Compressor Using Adiabatic Logic", International Journal of Emerging Technology and Advanced Engineering, Volume 3, Issue 1, PP-288-292, January 2013. [6] Shubhajit Roy Chowdhury, Aritra Banerjee, Aniruddha Roy, Hiranmay Saha, “A high Speed 8 Transistor Full Adder Design using Novel 3 Transistor XOR Gates”, International Journal of Electronics, Circuits and Systems, pp. 218, 2008. [7] N.Anuar, Y. Takahashi, T. Sekine "4×4-bit array two phase clocked adiabatic static CMOS logic multiplier with new XOR", IEEE VLSI System on Chip Conference (VLSI-SoC), PP-364-368, 2010. [8] Hung Tien Bui, Yuke Wang and Ying Tao Jiang, “Design and Analysis of low Power 10 Transistor Full adders Using Novel XOR/XNOR gates”, IEEE Transactions on Circuits And Systems- II, : Analog and Digital Signal Processing, Vol.49, No.1, January 2002. [9] J.C. Park, V. J. Mooney III and P. Pfeiffenberger, “Sleepy Stack Reduction of Leakage Power,” Proceeding of the International Workshop on Power and Timing Modeling, Optimization and Simulation, pp. 148-158, September 2004. [10] Clive Maxfield, “Bebop to the Boolean boogie: an unconventional guide to electronics Newness”, 2008, pp. 423-426 [11] Jaume Segura, Charles F. Hawkins “CMOS electronics: how it works, how it fails”, Wiley-IEEE, 2004, page 132. [12] Yingtao Jiang, Abdulkarim Al-Sheraidah, Yuke Wang, Edwin Sha, and Jin-Gyun Chung, “A Novel Multiplexer-Based Low-Power Full Adder” IEEE Transaction on circuits and systems-II: Express Brief, Vol. 51, No. 7,p-345, July- 2004. [13] J. Park, “Sleepy Stack: a New Approach to Low Power VLSI and Memory,” Ph.D. Dissertation, School of Electrical and Computer Engineering, Georgia Institute of Technology, 2005. [Online]. Available http://etd.gatech.edu/theses.