SlideShare a Scribd company logo
1 of 5
Download to read offline
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 136-140, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 136
Implementation of High Performance Carry Save Adder Using Domino Logic
T.Jayasimha1
, Daka Lakshmi2
, M.Gokula Lakshmi3
, S.Kiruthiga4
and K.Kaviya5
1Assistant Professor, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: jayasimha412@gmail.com
2UG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: 1995lakshmireddy@gmail.com
3UG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: gokulalakshmi.m@gmail.com
4UG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: kiruthigasbeece@gmail.com
5UG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: kaviyakannan1996@gmail.com
Article Received: 23 February 2017 Article Accepted: 13 March 2017 Article Published: 15 March 2017
1. INTRODUCTION
The high performance and low power consumption has
become important in today’s VLSI circuit design. The
exponential growth of portable electronic devices like
laptops, multimedia and cellular device, research efforts in the
field of low power VLSI systems have increased. Now a day’s
low power consumption along with minimum delay and area
requirements is one of important design consider for IC
designers. There are three major source of power
consumption in VLSI circuits:
1) Switching power due to charge and discharge of
capacitances.
2) Short circuit power due to current flow from power supply
to ground with simultaneous function of p-network and
n-networks.
3) Static power due to leakage currents.
The need for higher performance has used a Domino circuits
where conventional static CMOS circuits may not meet the
low critical path delay.
Hybrid CMOS logic styles have a higher design freedom to
the desired performance. Hybrid full adder has higher speed,
less power consumption and higher performance. Full adders
are fundamental in various circuits which is used for
performing arithmetic operations such as addition,
subtraction, multiplication, address calculation, comparator
and MAC etc. Enhancing the performance of the full adders
can significantly affect the whole system performance.
There are two logic approaches for designing a full adder first
is static style and second is dynamic style. Static full adder are
more reliable, simpler and low power than dynamic ones but
dynamic full adder are more fast and some times more
compact than static full adder.
Recent technology scaling and use of various logic families
provides techniques to achieve power consumption at the cost
of performance. Power, speed and robustness are so critical to
leading edge designs that they need to be taken care of each
level of design. The choice of logic styles is a very important
constraint at the circuit level. Logic styles differ in terms of
energy, delay, area and robustness. Because every design
requires compromises and trade-offs, designers need to pick
and choose circuits from different points on an energy delay-
robustness envelope to meet each circuit need. Among other
things, meeting the needs of future computing will require
logic style that satisfies high-performance, low-power, high
robustness in the form of noise and variability, ease of
implementation and verification. In addition to that, we want
to use logic styles that are compatible for all types of logic
implementation for further improvement in robustness. The
objective of this research work is to modify and improve
domino logic that can provide further improvement in power
consumption, performance, speed and area overhead.
 The main objective is to reduce the delay, area and
power consumption.
 Number of transistors required for circuit design gets
reduced.
 The performance of the system is high, hence the
speed increases.
2. DOMINO LOGIC
Domino logic is a clocked logic family which means that
every single logic has a clock signal present. When the clock
signal turns low, node N0 goes high, causing the output of the
gate to go low. The mechanism for the gate output to go low
once it has been driven high. The operating period of the cell
when its input and output are low is called the recharge phase.
The next phase, when the clock is high, is called as evaluate
phase. The evaluate phase is the functional operating phase in
domino cells, with the recharge phase enabling the evaluate
ABSTRACT
In most of the modern day computers adder is an essential circuit. The primary requirement of adder is that, it is fast and efficient in terms of power
consumption and chip area. Here we design a CSA (Carry Save Adder) using domino logic. CSA can be designed using two full adder circuits. The
circuit is implemented in domino logic by switching PMOS to off state and NMOS to on state and adding a static inverter at the output. Domino Logic
with CSA gives better result in terms of power dissipation, area, speed and reduction in transistors count required. To design an efficient integrated
circuit in terms of area, power and speed has become a challenging task in modern VLSI design field .Performance analysis was carried out between
multiplier using Carry Propagate Adder(CPA) and by using Carry Save Adder.
Keywords: Carry Save Adder, Carry Propagate Adder, Domino Logic Circuits, Delay and Power Consumption.
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 136-140, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 137
phase to occur. The application of the clock signal ensures
that the critical path in domino cells only traverses through
cells in the evaluate phase. Since the domino cell only
switches from a low to a high direction, there is no need for
the inputs to drive any pull-up PMOS transistors. The lack of
a PMOS transistor means that the effective transistor width
has loads down a previous stage of logic, for a particular
current drive, favors domino over static logic. This is critical
since the high speed ensures that a speed advantage can be
gained without loading down the cell greatly.
Fig.1. Domino CMOS Logic
3. DOMINO FULL ADDER
Full adder cell realization uses 27 transistors as shown in
Figure.2.It has lower delay as compared to static adder circuit
having 28 transistor counts. It is based on the 3 transistor
implementations of XOR and XNOR functions presented in,
pass transistors and transmission gates. This circuit has
several advantages, First, it reduces the number of transistor
count which decreases the cell area as well as delay. Second,
It balances the delays of generating XOR and XNOR, which
lead to fewer glitches at the output. The output of full adder
cell SUM and COUT can be produced using intermediate
signal K BC)’ or K’ BC.
Fig.2. 27 Transistor 1-bit domino full adder
4. CARRY PROPAGATE ADDER
It is possible to create a logical circuit using many full adders
to add N-bit numbers. Each full adder inputs is Cin, which is
the Cout of the previous adder. This kind of adder is called a
carry propagate adder shown in figure 3. Each carry bit
"ripples" to the next full adder. The layout of a carry
propagate adder is simple, which allows for fast design time.
The carry propagate adder is relatively slow, since each full
adder must wait for the carry bit to be calculated from the
previous full adder. The gate delay can easily be calculated by
inspection of the full adder circuit.
Fig.3. Carry Propagate Adder
CPA has the simplest structure with the smallest area and
power consumption, but it is not very efficient when large
number of bits are used and delay increases linearly with the
bit length. Delay from carry-in to carry-out is more important
than from X to carry-out or from carry-in to SUM, because the
carry-propagation chain will determine the latency of the
whole circuit for a Carry Propagate Adder. Table 1 shows the
truth table of a binary full adder. A and B are the adder inputs
Cin is the carry input, S is the sum output, and Cout is the
carry output based on this truth table.
5. CARRY SAVE ADDER
Carry save adder is consists of three or more n-bit binary
numbers. Carry save adder is similar as full adder. Here we
are computing sum of 3-bit binary numbers, so we take 3 full
adders at first stage. Carry save unit consists of 6 full adders,
each of which computes single sum and carry bit based only
on the corresponding bits of the two input numbers. Let X and
Y are two 3-bit numbers and produces partial sum and carry
as S and C as shown in the Table 1.
Si = Xi xor Yi
Ci = Xi and Yi
Table 1. Carry save Adder Computation
X: 1 0 0 1 1
Y: 1 1 0 0 1
Z: + 0 1 0 1 1
__________________________
S: 0 0 0 0 1
C: + 1 1 0 1 1
_________________________
Sum: 1 1 0 1 1 1
The addition is then computed as:
1. Shifting the carry C left by one place.
2. Placing a 0 in front (MSB) of the partial sum sequence S.
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 136-140, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 138
3. Finally, a carry propagate adder is used to add these Two
sequences together and computing the resulting sum.
5.1 Operation of CSA
CSA consists of 2 pair of blocks with each block consisting of
a pair of carry propagate adders and two multiplexers
controlled by incoming carry signal. One is to select one of
the two carry outputs and the other one is to select one of two
n bit SUMs. The carry propagation logic of each block of
CSA has two paths: one has ‘0’ as its CARRY input to the first
stage and other has ‘1’ as its CARRY input. Carry-out will be
the same as Carry-in for every stage because each carry
propagation logic get propagates. Carry-in to Carry-out has
no change. Hence, every circuit will be evaluated in the
preferential skew direction. This has the largest propagation
delay because Carry-in goes through all stages in the path.
Fig.4. Comparator
Fig.5. Simulation Output of 3- Bit Comparator
6. COMPARATOR
Comparator is a fundamental building block in most of the
analog-to-digital converters (ADCs). Many high speed
ADCs, such as flash ADCs, high-speed, low power
comparators with small chip area. High-speed comparators in
ultra deep sub micrometer (UDSM) CMOS technologies with
low power voltages. When we consider the fact of threshold
voltages of the devices have not been scaled at the same time
for the supply voltages of the modern CMOS processes.
Designing high-speed comparators is more challenging when
the supply voltage is smaller. The technologies has achieved
high speed, larger transistors are required to compensate the
reduction of power supply, which reduces the area and power
is needed. Low-voltage operation results in limited
common-mode input range, which is important in many
high-speed ADC architectures, such as flash ADCs. Many
techniques, such as supply boosting methods, ,current-mode
design and using dual-oxide processes, which can handle high
supply voltages have been developed to meet the low-voltage
design challenges.
Boosting and bootstrapping are two techniques used in
augmenting the power supply, reference, or clock voltage to
address input-range and switching problems. These are
effective techniques, but they introduce reliability especially
in UDSM CMOS technologies. Body-driven technique
adopted by Blalock, removes the threshold voltage required
such that body driven MOSFET operates as a depletion-type
device. A 1-bit quantizer for sub-1V modulators is used.
Advantages, the body driven transistor suffers from smaller
transconductance compared to its gate-drive counterpart
while the fabrication process, such as deep n-well is required
to have both NMOS and PMOS transistors operating in the
body-driven configuration. Developing new circuit structures
which avoid the transistors between the supply rails is
preferable for low-voltage operation, if they do not increase
the circuit complexity.
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 136-140, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 139
7. 3-BIT CARRY SAVE ADDER
Fig.6. 3-Bit Carry Save Adder
Fig.7. Output of 3- Bit Carry Save Adder
8. CONCLUSION
We have designed the domino logic based full adder, carry
propagator adder, carry save adder. We have shown the
power is reduced, delay is reduced and the number of
transistors required for this construction is also reduced i.e.,
area is reduced. We have also designed comparator using
domino logic. Dynamic Comparator with low – voltage, low-
power capability will reduced delay. This system is simulated
by using MICROWIND tool and the output simulated
waveform is analysed.
REFERENCES
[1] B. Parhami, Computer Arithmetic, Algorithm and
Hardware Design, Oxford University Press, New York, pp.
91-119, 2000.
[2] Stephen Brown and Zvonko Vranesic, Fundamentals of
Digital Logic with VHDL Design. 2nd Ed. McGraw-Hill
Higher Education, USA.ISBN: 0072499389, 2005.
[3] B. Goll and H. Zimmermann, “A comparator with reduced
delay time in 65-nm CMOS for supply voltages down to
0.65,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no.
11, pp. 810–814, Nov. 2009.
[4] Jinhui Wang, Wuchen Wu, Ligang Hou, Shuqin Geng and
Wang Zhang, Xiaohong Peng, “Using Charge
Self-compensation Domino Full-adder with Multiple Supply
and Dual Threshold Voltage in 45nm Technology” IEEE
conference 2009.
[5] R. Zimmermann and W. Fichtner, “Low-power logic
styles: CMOS versus pass-transistor logic,” IEEE J. Solid-
State Circuits, vol. 32, no. 7, pp. 1079–1090, Jul. 1997.
[6] C. Lee and E. Szeto, “Zipper CMOS,” IEEE Circuits Syst.
Mag., vol. 2, no. 3, pp. 10–16, May 1986.
[7] Partha Bhattacharya, Bijoy kundu Sovann Ghosh,
Vinaykumar, and Anup Dandapt, Performance Analysis of a
“Low-Power High-Speed Hybrid 1-bit Full Adder Circuit”,
IEEE Transactions on Very Large Scale Integration (VLSI)
Systems, Volume: 23, Issue: 10.
[8] B. Guo, T. Ma, Y. Zhang ,“Design of a novel domino
XNOR gate for 32nm-node CMOS technology”,
International Conference on Electric Information and
Control engineering (ICEICE), 2011, PP.289-292.
AUTHOR BIOGRAPHIES
Prof.T.Jayasimha is working as an Assistant Professor in the
department of Electronics and Communication Engineering at
Vivekanandha College of Engineering for Women,
Tiruchengode, Tamilnadu. He pursued his B.E degree in Alfa
College of Engineering and Technology. He pursued his M.E
degree in Karunya University.
Daka Lakshmi is pursuing her Bachelor of Engineering
degree in the stream of Electronics and Communication
Engineering at Vivekanandha College of Engineering for
Women, Tiruchengode, Tamilnadu. Her area of interest is
VLSI Design.
M.Gokula Lakshmi is pursuing her Bachelor of Engineering
degree in the stream of Electronics and Communication
Engineering at Vivekanandha College of Engineering for
Asian Journal of Applied Science and Technology (AJAST)
Volume 1, Issue 2, Pages 136-140, March 2017
© 2017 AJAST All rights reserved. www.ajast.net
Page | 140
Women, Tiruchengode, Tamilnadu. Her area of interest is
VLSI Design.
S.Kiruthiga is pursuing her Bachelor of Engineering degree
in the stream of Electronics and Communication Engineering
at Vivekanandha College of Engineering for Women,
Tiruchengode, Tamilnadu. Her area of interest is VLSI
Design.
K.Kaviya is pursuing her Bachelor of Engineering degree in
the stream of Electronics and Communication Engineering at
Vivekanandha College of Engineering for Women,
Tiruchengode, Tamilnadu. Her area of interest is VLSI
Design.

More Related Content

What's hot

Low cost high-performance vlsi architecture for montgomery modular multiplica...
Low cost high-performance vlsi architecture for montgomery modular multiplica...Low cost high-performance vlsi architecture for montgomery modular multiplica...
Low cost high-performance vlsi architecture for montgomery modular multiplica...Ratnakar Varun
 
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...IRJET Journal
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET Journal
 
Efficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyEfficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyIJARIIT
 
D0255033039
D0255033039D0255033039
D0255033039theijes
 
IRJET - Flyback Converter based BLDC Motor Drives for Power Device Applications
IRJET - Flyback Converter based BLDC Motor Drives for Power Device ApplicationsIRJET - Flyback Converter based BLDC Motor Drives for Power Device Applications
IRJET - Flyback Converter based BLDC Motor Drives for Power Device ApplicationsIRJET Journal
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesIOSRJVSP
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET Journal
 
Embedded fuzzy controller for water level control
Embedded fuzzy controller for water level control Embedded fuzzy controller for water level control
Embedded fuzzy controller for water level control IJECEIAES
 
Shuffle exchange networks
Shuffle exchange networksShuffle exchange networks
Shuffle exchange networksLahiru Danushka
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select addereSAT Publishing House
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET Journal
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET Journal
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full AdderIRJET Journal
 
ECE260BMiniProject2Report
ECE260BMiniProject2ReportECE260BMiniProject2Report
ECE260BMiniProject2ReportFanyu Yang
 
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSA REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSVLSICS Design
 

What's hot (20)

Hfc
HfcHfc
Hfc
 
Low cost high-performance vlsi architecture for montgomery modular multiplica...
Low cost high-performance vlsi architecture for montgomery modular multiplica...Low cost high-performance vlsi architecture for montgomery modular multiplica...
Low cost high-performance vlsi architecture for montgomery modular multiplica...
 
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...IRJET-  	  Comparative Study of Implementation of 8-Bit Carry Select Adder us...
IRJET- Comparative Study of Implementation of 8-Bit Carry Select Adder us...
 
IRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full AddersIRJET- Comparison of Multiplier Design with Various Full Adders
IRJET- Comparison of Multiplier Design with Various Full Adders
 
M367578
M367578M367578
M367578
 
Efficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technologyEfficient implementation of full adder for power analysis in cmos technology
Efficient implementation of full adder for power analysis in cmos technology
 
Takagi-Sugeno Fuzzy Perpose as Speed Controller in Indirect Field Oriented Co...
Takagi-Sugeno Fuzzy Perpose as Speed Controller in Indirect Field Oriented Co...Takagi-Sugeno Fuzzy Perpose as Speed Controller in Indirect Field Oriented Co...
Takagi-Sugeno Fuzzy Perpose as Speed Controller in Indirect Field Oriented Co...
 
D0255033039
D0255033039D0255033039
D0255033039
 
IRJET - Flyback Converter based BLDC Motor Drives for Power Device Applications
IRJET - Flyback Converter based BLDC Motor Drives for Power Device ApplicationsIRJET - Flyback Converter based BLDC Motor Drives for Power Device Applications
IRJET - Flyback Converter based BLDC Motor Drives for Power Device Applications
 
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic TechniquesImplementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
Implementation of Area & Power Optimized VLSI Circuits Using Logic Techniques
 
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSIIRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
IRJET- Low Power Adder and Multiplier Circuits Design Optimization in VLSI
 
Embedded fuzzy controller for water level control
Embedded fuzzy controller for water level control Embedded fuzzy controller for water level control
Embedded fuzzy controller for water level control
 
Shuffle exchange networks
Shuffle exchange networksShuffle exchange networks
Shuffle exchange networks
 
Enhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adderEnhanced low power, fast and area efficient carry select adder
Enhanced low power, fast and area efficient carry select adder
 
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
IRJET- Implementation and Analysis of Hybridization in Modified Parallel Adde...
 
[000007]
[000007][000007]
[000007]
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
IRJET - Low Power Design for Fast Full Adder
IRJET -  	  Low Power Design for Fast Full AdderIRJET -  	  Low Power Design for Fast Full Adder
IRJET - Low Power Design for Fast Full Adder
 
ECE260BMiniProject2Report
ECE260BMiniProject2ReportECE260BMiniProject2Report
ECE260BMiniProject2Report
 
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERSA REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
A REVIEW OF THE 0.09 µm STANDARD FULL ADDERS
 

Viewers also liked

Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...Associate Professor in VSB Coimbatore
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitAssociate Professor in VSB Coimbatore
 
Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...Associate Professor in VSB Coimbatore
 
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...Associate Professor in VSB Coimbatore
 
Design of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch AntennaDesign of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch AntennaAssociate Professor in VSB Coimbatore
 
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAn Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAssociate Professor in VSB Coimbatore
 
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyDesign of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyAssociate Professor in VSB Coimbatore
 
Artificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor NetworksArtificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor NetworksAssociate Professor in VSB Coimbatore
 
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...Associate Professor in VSB Coimbatore
 
Real Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO ProcessorReal Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO ProcessorAssociate Professor in VSB Coimbatore
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicAssociate Professor in VSB Coimbatore
 
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode EnvironmentVesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode EnvironmentAssociate Professor in VSB Coimbatore
 

Viewers also liked (20)

Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
Tele-Robotic Surgical Arm System with Efficient Tactile Sensors in the Manipu...
 
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder CircuitDesign and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
Design and Analysis of Low Power High Speed Hybrid logic 8-T Full Adder Circuit
 
Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...Ration Material Distribution and Stock Information Interchange using Finger P...
Ration Material Distribution and Stock Information Interchange using Finger P...
 
Industrial Monitoring System Using Wireless Sensor Networks
Industrial Monitoring System Using Wireless Sensor NetworksIndustrial Monitoring System Using Wireless Sensor Networks
Industrial Monitoring System Using Wireless Sensor Networks
 
Smart Phone-Based Peak Expiratory Flow Meter
Smart Phone-Based Peak Expiratory Flow MeterSmart Phone-Based Peak Expiratory Flow Meter
Smart Phone-Based Peak Expiratory Flow Meter
 
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
Real-Time Monitoring and Assessment of Circuit Breaker Operations for Diagnos...
 
Industrial Safety Measurement using Sensors and Voice Announcement
Industrial Safety Measurement using Sensors and Voice AnnouncementIndustrial Safety Measurement using Sensors and Voice Announcement
Industrial Safety Measurement using Sensors and Voice Announcement
 
A Virtual Reality Based Driving System
A Virtual Reality Based Driving SystemA Virtual Reality Based Driving System
A Virtual Reality Based Driving System
 
Design of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch AntennaDesign of a High Directive Gain Reconfigurable Circular Patch Antenna
Design of a High Directive Gain Reconfigurable Circular Patch Antenna
 
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSMAn Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
An Efficient Low Power Convolutional Coding with Viterbi Decoding using FSM
 
Pisciculture Environment Control Using Automated Monitoring System
Pisciculture Environment Control Using Automated Monitoring SystemPisciculture Environment Control Using Automated Monitoring System
Pisciculture Environment Control Using Automated Monitoring System
 
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design MethodologyDesign of Three-Input XOR/XNOR using Systematic Cell Design Methodology
Design of Three-Input XOR/XNOR using Systematic Cell Design Methodology
 
Artificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor NetworksArtificial Bee Colony algorithm for Localization in Wireless Sensor Networks
Artificial Bee Colony algorithm for Localization in Wireless Sensor Networks
 
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
Design of Power Efficient 4x4 Multiplier Based On Various Power Optimizing Te...
 
Real Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO ProcessorReal Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
Real Time ECG and Saline Level Monitoring System Using Arduino UNO Processor
 
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI LogicEnergy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
Energy Efficient Full Adders for Arithmetic Applications Based on GDI Logic
 
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode EnvironmentVesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
Vesceral Control on Electric Gadgets by Smartphones for Smart Abode Environment
 
Clean India Android APP
Clean India Android APPClean India Android APP
Clean India Android APP
 
Modeling and Simulation of Memristor using SPICE Model
Modeling and Simulation of Memristor using SPICE ModelModeling and Simulation of Memristor using SPICE Model
Modeling and Simulation of Memristor using SPICE Model
 
Safety for School Children Transport Enhancement System
Safety for School Children Transport Enhancement SystemSafety for School Children Transport Enhancement System
Safety for School Children Transport Enhancement System
 

Similar to Implementation of High Performance Carry Save Adder Using Domino Logic

Design of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS TechnologyDesign of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS TechnologyAssociate Professor in VSB Coimbatore
 
Area, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder TopologiesArea, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder TopologiesVLSICS Design
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd Iaetsd
 
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...IRJET Journal
 
Design and implementation of 15 4 compressor using 1-bit semi domino full add...
Design and implementation of 15 4 compressor using 1-bit semi domino full add...Design and implementation of 15 4 compressor using 1-bit semi domino full add...
Design and implementation of 15 4 compressor using 1-bit semi domino full add...eSAT Journals
 
Design And Analysis Of 64-Bit Adders In Cadence Using Different Logic Families
Design And Analysis Of 64-Bit Adders In Cadence Using Different Logic FamiliesDesign And Analysis Of 64-Bit Adders In Cadence Using Different Logic Families
Design And Analysis Of 64-Bit Adders In Cadence Using Different Logic FamiliesIRJET Journal
 
Comparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsComparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsIOSR Journals
 
Evaluation of High Speed and Low Memory Parallel Prefix Adders
Evaluation of High Speed and Low Memory Parallel Prefix AddersEvaluation of High Speed and Low Memory Parallel Prefix Adders
Evaluation of High Speed and Low Memory Parallel Prefix AddersIOSR Journals
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...VLSICS Design
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...VLSICS Design
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...VLSICS Design
 
Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...IJARIIT
 
Final Project Report
Final Project ReportFinal Project Report
Final Project ReportRiddhi Shah
 
High Speed and Area Efficient Booth Multiplier Using SQRT CSLA with Zero Find...
High Speed and Area Efficient Booth Multiplier Using SQRT CSLA with Zero Find...High Speed and Area Efficient Booth Multiplier Using SQRT CSLA with Zero Find...
High Speed and Area Efficient Booth Multiplier Using SQRT CSLA with Zero Find...IJERA Editor
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderVLSICS Design
 

Similar to Implementation of High Performance Carry Save Adder Using Domino Logic (20)

Design of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS TechnologyDesign of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
Design of Low Power Energy Efficient Carry Select Adder Using CMOS Technology
 
Ch33509513
Ch33509513Ch33509513
Ch33509513
 
Ch33509513
Ch33509513Ch33509513
Ch33509513
 
Area, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder TopologiesArea, Delay and Power Comparison of Adder Topologies
Area, Delay and Power Comparison of Adder Topologies
 
H010335563
H010335563H010335563
H010335563
 
Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)Iaetsd design and simulation of high speed cmos full adder (2)
Iaetsd design and simulation of high speed cmos full adder (2)
 
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
Design & Simulation of Half Adder Circuit using AVL Technique Based on CMOS T...
 
Parallel Adder
Parallel Adder Parallel Adder
Parallel Adder
 
Design and implementation of 15 4 compressor using 1-bit semi domino full add...
Design and implementation of 15 4 compressor using 1-bit semi domino full add...Design and implementation of 15 4 compressor using 1-bit semi domino full add...
Design and implementation of 15 4 compressor using 1-bit semi domino full add...
 
Design And Analysis Of 64-Bit Adders In Cadence Using Different Logic Families
Design And Analysis Of 64-Bit Adders In Cadence Using Different Logic FamiliesDesign And Analysis Of 64-Bit Adders In Cadence Using Different Logic Families
Design And Analysis Of 64-Bit Adders In Cadence Using Different Logic Families
 
Comparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder CircuitsComparative Analysis of Different Types of Full Adder Circuits
Comparative Analysis of Different Types of Full Adder Circuits
 
Evaluation of High Speed and Low Memory Parallel Prefix Adders
Evaluation of High Speed and Low Memory Parallel Prefix AddersEvaluation of High Speed and Low Memory Parallel Prefix Adders
Evaluation of High Speed and Low Memory Parallel Prefix Adders
 
ha_report modified
ha_report  modifiedha_report  modified
ha_report modified
 
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
An Ultra-Low Power Robust Koggestone Adder at Sub-Threshold Voltages for Impl...
 
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
AN ULTRA-LOW POWER ROBUST KOGGESTONE ADDER AT SUB-THRESHOLD VOLTAGES FOR IMPL...
 
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
GENERIC SYSTEM VERILOG UNIVERSAL VERIFICATION METHODOLOGY BASED REUSABLE VERI...
 
Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...Implementation of pull up pull-down network for energy optimization in full a...
Implementation of pull up pull-down network for energy optimization in full a...
 
Final Project Report
Final Project ReportFinal Project Report
Final Project Report
 
High Speed and Area Efficient Booth Multiplier Using SQRT CSLA with Zero Find...
High Speed and Area Efficient Booth Multiplier Using SQRT CSLA with Zero Find...High Speed and Area Efficient Booth Multiplier Using SQRT CSLA with Zero Find...
High Speed and Area Efficient Booth Multiplier Using SQRT CSLA with Zero Find...
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adder
 

More from Associate Professor in VSB Coimbatore

Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...Associate Professor in VSB Coimbatore
 
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...Associate Professor in VSB Coimbatore
 
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...Associate Professor in VSB Coimbatore
 
A Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee RetentionA Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee RetentionAssociate Professor in VSB Coimbatore
 
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...Associate Professor in VSB Coimbatore
 
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...Associate Professor in VSB Coimbatore
 
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...Associate Professor in VSB Coimbatore
 
A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...Associate Professor in VSB Coimbatore
 
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture Associate Professor in VSB Coimbatore
 
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...Associate Professor in VSB Coimbatore
 
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...Associate Professor in VSB Coimbatore
 
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...Associate Professor in VSB Coimbatore
 
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...Associate Professor in VSB Coimbatore
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal Associate Professor in VSB Coimbatore
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal Associate Professor in VSB Coimbatore
 
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...Associate Professor in VSB Coimbatore
 

More from Associate Professor in VSB Coimbatore (20)

Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
Usmonkhoja Polatkhoja’s Son and His Role in National Liberation Movements of ...
 
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
Flood Vulnerability Mapping using Geospatial Techniques: Case Study of Lagos ...
 
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
Improvement in Taif Roses’ Perfume Manufacturing Process by Using Work Study ...
 
A Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee RetentionA Systematic Review on Various Factors Influencing Employee Retention
A Systematic Review on Various Factors Influencing Employee Retention
 
Digital Planting Pot for Smart Irrigation
Digital Planting Pot for Smart Irrigation  Digital Planting Pot for Smart Irrigation
Digital Planting Pot for Smart Irrigation
 
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
Methodologies for Resolving Data Security and Privacy Protection Issues in Cl...
 
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
Determine the Importance Level of Criteria in Creating Cultural Resources’ At...
 
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
New One-Pot Synthetic Route and Spectroscopic Characterization of Hydroxo-Bri...
 
A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...A Review on the Distribution, Nutritional Status and Biological Activity of V...
A Review on the Distribution, Nutritional Status and Biological Activity of V...
 
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
Psoralen Promotes Myogenic Differentiation of Muscle Cells to Repair Fracture
 
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
Saliva: An Economic and Reliable Alternative for the Detection of SARS-CoV-2 ...
 
Ecological Footprint of Food Consumption in Ijebu Ode, Nigeria
Ecological Footprint of Food Consumption in Ijebu Ode, NigeriaEcological Footprint of Food Consumption in Ijebu Ode, Nigeria
Ecological Footprint of Food Consumption in Ijebu Ode, Nigeria
 
Mass & Quark Symmetry
Mass & Quark SymmetryMass & Quark Symmetry
Mass & Quark Symmetry
 
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
Biocompatible Molybdenum Complexes Based on Terephthalic Acid and Derived fro...
 
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
Influence of Low Intensity Aerobic Exercise Training on the Vo2 Max in 11 to ...
 
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
Effects of Planting Ratio and Planting Distance on Kadaria 1 Hybrid Rice Seed...
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal
 
Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal  Study of the Cassava Production System in the Department of Tivaouane, Senegal
Study of the Cassava Production System in the Department of Tivaouane, Senegal
 
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
Burnout of Nurses in Nursing Homes: To What Extent Age, Education, Length of ...
 
Hepatitis and its Transmission Through Needlestick Injuries
Hepatitis and its Transmission Through Needlestick Injuries Hepatitis and its Transmission Through Needlestick Injuries
Hepatitis and its Transmission Through Needlestick Injuries
 

Recently uploaded

Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Arindam Chakraborty, Ph.D., P.E. (CA, TX)
 
Unit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdfUnit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdfRagavanV2
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdfKamal Acharya
 
Intze Overhead Water Tank Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank  Design by Working Stress - IS Method.pdfIntze Overhead Water Tank  Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank Design by Working Stress - IS Method.pdfSuman Jyoti
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...roncy bisnoi
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordAsst.prof M.Gokilavani
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...Call Girls in Nagpur High Profile
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXssuser89054b
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Bookingroncy bisnoi
 
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Bookingroncy bisnoi
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VDineshKumar4165
 
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...tanu pandey
 

Recently uploaded (20)

Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
Navigating Complexity: The Role of Trusted Partners and VIAS3D in Dassault Sy...
 
Unit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdfUnit 2- Effective stress & Permeability.pdf
Unit 2- Effective stress & Permeability.pdf
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
Intze Overhead Water Tank Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank  Design by Working Stress - IS Method.pdfIntze Overhead Water Tank  Design by Working Stress - IS Method.pdf
Intze Overhead Water Tank Design by Working Stress - IS Method.pdf
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar  ≼🔝 Delhi door step de...
Call Now ≽ 9953056974 ≼🔝 Call Girls In New Ashok Nagar ≼🔝 Delhi door step de...
 
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...Booking open Available Pune Call Girls Pargaon  6297143586 Call Hot Indian Gi...
Booking open Available Pune Call Girls Pargaon 6297143586 Call Hot Indian Gi...
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Wakad Call Me 7737669865 Budget Friendly No Advance Booking
 
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance BookingCall Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
Call Girls Walvekar Nagar Call Me 7737669865 Budget Friendly No Advance Booking
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024Water Industry Process Automation & Control Monthly - April 2024
Water Industry Process Automation & Control Monthly - April 2024
 
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
(INDIRA) Call Girl Meerut Call Now 8617697112 Meerut Escorts 24x7
 
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Ramesh Nagar Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...Bhosari ( Call Girls ) Pune  6297143586  Hot Model With Sexy Bhabi Ready For ...
Bhosari ( Call Girls ) Pune 6297143586 Hot Model With Sexy Bhabi Ready For ...
 
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort ServiceCall Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
Call Girls in Netaji Nagar, Delhi 💯 Call Us 🔝9953056974 🔝 Escort Service
 

Implementation of High Performance Carry Save Adder Using Domino Logic

  • 1. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 136-140, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 136 Implementation of High Performance Carry Save Adder Using Domino Logic T.Jayasimha1 , Daka Lakshmi2 , M.Gokula Lakshmi3 , S.Kiruthiga4 and K.Kaviya5 1Assistant Professor, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: jayasimha412@gmail.com 2UG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: 1995lakshmireddy@gmail.com 3UG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: gokulalakshmi.m@gmail.com 4UG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: kiruthigasbeece@gmail.com 5UG Student, Department of ECE, Vivekanandha College of Engineering for Women, India. Email: kaviyakannan1996@gmail.com Article Received: 23 February 2017 Article Accepted: 13 March 2017 Article Published: 15 March 2017 1. INTRODUCTION The high performance and low power consumption has become important in today’s VLSI circuit design. The exponential growth of portable electronic devices like laptops, multimedia and cellular device, research efforts in the field of low power VLSI systems have increased. Now a day’s low power consumption along with minimum delay and area requirements is one of important design consider for IC designers. There are three major source of power consumption in VLSI circuits: 1) Switching power due to charge and discharge of capacitances. 2) Short circuit power due to current flow from power supply to ground with simultaneous function of p-network and n-networks. 3) Static power due to leakage currents. The need for higher performance has used a Domino circuits where conventional static CMOS circuits may not meet the low critical path delay. Hybrid CMOS logic styles have a higher design freedom to the desired performance. Hybrid full adder has higher speed, less power consumption and higher performance. Full adders are fundamental in various circuits which is used for performing arithmetic operations such as addition, subtraction, multiplication, address calculation, comparator and MAC etc. Enhancing the performance of the full adders can significantly affect the whole system performance. There are two logic approaches for designing a full adder first is static style and second is dynamic style. Static full adder are more reliable, simpler and low power than dynamic ones but dynamic full adder are more fast and some times more compact than static full adder. Recent technology scaling and use of various logic families provides techniques to achieve power consumption at the cost of performance. Power, speed and robustness are so critical to leading edge designs that they need to be taken care of each level of design. The choice of logic styles is a very important constraint at the circuit level. Logic styles differ in terms of energy, delay, area and robustness. Because every design requires compromises and trade-offs, designers need to pick and choose circuits from different points on an energy delay- robustness envelope to meet each circuit need. Among other things, meeting the needs of future computing will require logic style that satisfies high-performance, low-power, high robustness in the form of noise and variability, ease of implementation and verification. In addition to that, we want to use logic styles that are compatible for all types of logic implementation for further improvement in robustness. The objective of this research work is to modify and improve domino logic that can provide further improvement in power consumption, performance, speed and area overhead.  The main objective is to reduce the delay, area and power consumption.  Number of transistors required for circuit design gets reduced.  The performance of the system is high, hence the speed increases. 2. DOMINO LOGIC Domino logic is a clocked logic family which means that every single logic has a clock signal present. When the clock signal turns low, node N0 goes high, causing the output of the gate to go low. The mechanism for the gate output to go low once it has been driven high. The operating period of the cell when its input and output are low is called the recharge phase. The next phase, when the clock is high, is called as evaluate phase. The evaluate phase is the functional operating phase in domino cells, with the recharge phase enabling the evaluate ABSTRACT In most of the modern day computers adder is an essential circuit. The primary requirement of adder is that, it is fast and efficient in terms of power consumption and chip area. Here we design a CSA (Carry Save Adder) using domino logic. CSA can be designed using two full adder circuits. The circuit is implemented in domino logic by switching PMOS to off state and NMOS to on state and adding a static inverter at the output. Domino Logic with CSA gives better result in terms of power dissipation, area, speed and reduction in transistors count required. To design an efficient integrated circuit in terms of area, power and speed has become a challenging task in modern VLSI design field .Performance analysis was carried out between multiplier using Carry Propagate Adder(CPA) and by using Carry Save Adder. Keywords: Carry Save Adder, Carry Propagate Adder, Domino Logic Circuits, Delay and Power Consumption.
  • 2. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 136-140, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 137 phase to occur. The application of the clock signal ensures that the critical path in domino cells only traverses through cells in the evaluate phase. Since the domino cell only switches from a low to a high direction, there is no need for the inputs to drive any pull-up PMOS transistors. The lack of a PMOS transistor means that the effective transistor width has loads down a previous stage of logic, for a particular current drive, favors domino over static logic. This is critical since the high speed ensures that a speed advantage can be gained without loading down the cell greatly. Fig.1. Domino CMOS Logic 3. DOMINO FULL ADDER Full adder cell realization uses 27 transistors as shown in Figure.2.It has lower delay as compared to static adder circuit having 28 transistor counts. It is based on the 3 transistor implementations of XOR and XNOR functions presented in, pass transistors and transmission gates. This circuit has several advantages, First, it reduces the number of transistor count which decreases the cell area as well as delay. Second, It balances the delays of generating XOR and XNOR, which lead to fewer glitches at the output. The output of full adder cell SUM and COUT can be produced using intermediate signal K BC)’ or K’ BC. Fig.2. 27 Transistor 1-bit domino full adder 4. CARRY PROPAGATE ADDER It is possible to create a logical circuit using many full adders to add N-bit numbers. Each full adder inputs is Cin, which is the Cout of the previous adder. This kind of adder is called a carry propagate adder shown in figure 3. Each carry bit "ripples" to the next full adder. The layout of a carry propagate adder is simple, which allows for fast design time. The carry propagate adder is relatively slow, since each full adder must wait for the carry bit to be calculated from the previous full adder. The gate delay can easily be calculated by inspection of the full adder circuit. Fig.3. Carry Propagate Adder CPA has the simplest structure with the smallest area and power consumption, but it is not very efficient when large number of bits are used and delay increases linearly with the bit length. Delay from carry-in to carry-out is more important than from X to carry-out or from carry-in to SUM, because the carry-propagation chain will determine the latency of the whole circuit for a Carry Propagate Adder. Table 1 shows the truth table of a binary full adder. A and B are the adder inputs Cin is the carry input, S is the sum output, and Cout is the carry output based on this truth table. 5. CARRY SAVE ADDER Carry save adder is consists of three or more n-bit binary numbers. Carry save adder is similar as full adder. Here we are computing sum of 3-bit binary numbers, so we take 3 full adders at first stage. Carry save unit consists of 6 full adders, each of which computes single sum and carry bit based only on the corresponding bits of the two input numbers. Let X and Y are two 3-bit numbers and produces partial sum and carry as S and C as shown in the Table 1. Si = Xi xor Yi Ci = Xi and Yi Table 1. Carry save Adder Computation X: 1 0 0 1 1 Y: 1 1 0 0 1 Z: + 0 1 0 1 1 __________________________ S: 0 0 0 0 1 C: + 1 1 0 1 1 _________________________ Sum: 1 1 0 1 1 1 The addition is then computed as: 1. Shifting the carry C left by one place. 2. Placing a 0 in front (MSB) of the partial sum sequence S.
  • 3. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 136-140, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 138 3. Finally, a carry propagate adder is used to add these Two sequences together and computing the resulting sum. 5.1 Operation of CSA CSA consists of 2 pair of blocks with each block consisting of a pair of carry propagate adders and two multiplexers controlled by incoming carry signal. One is to select one of the two carry outputs and the other one is to select one of two n bit SUMs. The carry propagation logic of each block of CSA has two paths: one has ‘0’ as its CARRY input to the first stage and other has ‘1’ as its CARRY input. Carry-out will be the same as Carry-in for every stage because each carry propagation logic get propagates. Carry-in to Carry-out has no change. Hence, every circuit will be evaluated in the preferential skew direction. This has the largest propagation delay because Carry-in goes through all stages in the path. Fig.4. Comparator Fig.5. Simulation Output of 3- Bit Comparator 6. COMPARATOR Comparator is a fundamental building block in most of the analog-to-digital converters (ADCs). Many high speed ADCs, such as flash ADCs, high-speed, low power comparators with small chip area. High-speed comparators in ultra deep sub micrometer (UDSM) CMOS technologies with low power voltages. When we consider the fact of threshold voltages of the devices have not been scaled at the same time for the supply voltages of the modern CMOS processes. Designing high-speed comparators is more challenging when the supply voltage is smaller. The technologies has achieved high speed, larger transistors are required to compensate the reduction of power supply, which reduces the area and power is needed. Low-voltage operation results in limited common-mode input range, which is important in many high-speed ADC architectures, such as flash ADCs. Many techniques, such as supply boosting methods, ,current-mode design and using dual-oxide processes, which can handle high supply voltages have been developed to meet the low-voltage design challenges. Boosting and bootstrapping are two techniques used in augmenting the power supply, reference, or clock voltage to address input-range and switching problems. These are effective techniques, but they introduce reliability especially in UDSM CMOS technologies. Body-driven technique adopted by Blalock, removes the threshold voltage required such that body driven MOSFET operates as a depletion-type device. A 1-bit quantizer for sub-1V modulators is used. Advantages, the body driven transistor suffers from smaller transconductance compared to its gate-drive counterpart while the fabrication process, such as deep n-well is required to have both NMOS and PMOS transistors operating in the body-driven configuration. Developing new circuit structures which avoid the transistors between the supply rails is preferable for low-voltage operation, if they do not increase the circuit complexity.
  • 4. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 136-140, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 139 7. 3-BIT CARRY SAVE ADDER Fig.6. 3-Bit Carry Save Adder Fig.7. Output of 3- Bit Carry Save Adder 8. CONCLUSION We have designed the domino logic based full adder, carry propagator adder, carry save adder. We have shown the power is reduced, delay is reduced and the number of transistors required for this construction is also reduced i.e., area is reduced. We have also designed comparator using domino logic. Dynamic Comparator with low – voltage, low- power capability will reduced delay. This system is simulated by using MICROWIND tool and the output simulated waveform is analysed. REFERENCES [1] B. Parhami, Computer Arithmetic, Algorithm and Hardware Design, Oxford University Press, New York, pp. 91-119, 2000. [2] Stephen Brown and Zvonko Vranesic, Fundamentals of Digital Logic with VHDL Design. 2nd Ed. McGraw-Hill Higher Education, USA.ISBN: 0072499389, 2005. [3] B. Goll and H. Zimmermann, “A comparator with reduced delay time in 65-nm CMOS for supply voltages down to 0.65,” IEEE Trans. Circuits Syst. II, Exp. Briefs, vol. 56, no. 11, pp. 810–814, Nov. 2009. [4] Jinhui Wang, Wuchen Wu, Ligang Hou, Shuqin Geng and Wang Zhang, Xiaohong Peng, “Using Charge Self-compensation Domino Full-adder with Multiple Supply and Dual Threshold Voltage in 45nm Technology” IEEE conference 2009. [5] R. Zimmermann and W. Fichtner, “Low-power logic styles: CMOS versus pass-transistor logic,” IEEE J. Solid- State Circuits, vol. 32, no. 7, pp. 1079–1090, Jul. 1997. [6] C. Lee and E. Szeto, “Zipper CMOS,” IEEE Circuits Syst. Mag., vol. 2, no. 3, pp. 10–16, May 1986. [7] Partha Bhattacharya, Bijoy kundu Sovann Ghosh, Vinaykumar, and Anup Dandapt, Performance Analysis of a “Low-Power High-Speed Hybrid 1-bit Full Adder Circuit”, IEEE Transactions on Very Large Scale Integration (VLSI) Systems, Volume: 23, Issue: 10. [8] B. Guo, T. Ma, Y. Zhang ,“Design of a novel domino XNOR gate for 32nm-node CMOS technology”, International Conference on Electric Information and Control engineering (ICEICE), 2011, PP.289-292. AUTHOR BIOGRAPHIES Prof.T.Jayasimha is working as an Assistant Professor in the department of Electronics and Communication Engineering at Vivekanandha College of Engineering for Women, Tiruchengode, Tamilnadu. He pursued his B.E degree in Alfa College of Engineering and Technology. He pursued his M.E degree in Karunya University. Daka Lakshmi is pursuing her Bachelor of Engineering degree in the stream of Electronics and Communication Engineering at Vivekanandha College of Engineering for Women, Tiruchengode, Tamilnadu. Her area of interest is VLSI Design. M.Gokula Lakshmi is pursuing her Bachelor of Engineering degree in the stream of Electronics and Communication Engineering at Vivekanandha College of Engineering for
  • 5. Asian Journal of Applied Science and Technology (AJAST) Volume 1, Issue 2, Pages 136-140, March 2017 © 2017 AJAST All rights reserved. www.ajast.net Page | 140 Women, Tiruchengode, Tamilnadu. Her area of interest is VLSI Design. S.Kiruthiga is pursuing her Bachelor of Engineering degree in the stream of Electronics and Communication Engineering at Vivekanandha College of Engineering for Women, Tiruchengode, Tamilnadu. Her area of interest is VLSI Design. K.Kaviya is pursuing her Bachelor of Engineering degree in the stream of Electronics and Communication Engineering at Vivekanandha College of Engineering for Women, Tiruchengode, Tamilnadu. Her area of interest is VLSI Design.