An ultra-low leakage static random-access memory (SRAM) cell structure
with 8 transistors is proposed in this paper. Compared to the 6T SRAM and
other existing 8T SRAM cells, leakage power of the proposed cell in hold
mode reduced significantly. The stability parameters of the proposed cell are
calculated using butterfly method and also N-curve method. Proposed
SRAM achieves better write margin with slightly less read margin than 6T
SRAM. Proposed technique consumes 790 PW of power in hold mode,
which is very less compared to other existing techniques. Therefore, the
proposed cell is appropriate for hold mode applications. The simulations are
carried out by using Cadence (Virtuoso Schematic and layout editor) tools
with GPDK45-nm technology.
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
The performance of the cell deteriorates, when static random access memory (SRAM) cell is operated below 1V supply voltage with continuous scale down of the complementary metal oxide semiconductor (CMOS) technology. The conventional 6T, 8T-SRAM cells suffer writeability and read static noise margins (SNM) at low-voltages leads to degradation of cell stability. To improve the cell stability and reduce the dynamic power dissipation at low- voltages of the SRAM cell, we proposed four SRAM cells based on inverter structures with less energy consumption using voltage divider bias current sink/source inverter and NOR/NAND gate using a pseudo-nMOS inverter. The design and implementation of SRAM cell using proposed inverter structures are compared with standard 6T, 8T and ST-11T SRAM cells for different supply voltages at 22-nm CMOS technology exhibit better performance of the cell. The read/write static noise margin of the cell significantly increases due to voltage divider bias network built with larger cell-ratio during read path. The load capacitance of the cell is reduced with minimized switching transitions of the devices during high-to-low and low- to-high of the pull-up and pull-down networks from VDD to ground leads to on an average 54% of dynamic power consumption. When compared with the existing ones, the read/write power of the proposed cells is reduced to 30%. The static power gets reduced by 24% due to stacking of transistors takes place in the proposed SRAM cells as compare to existing ones. The layout of the proposed cells is drawn at a 45-nm technology, and occupies an area of 1.5 times greater and 1.8 times greater as compared with 6T-SRAM cell.
250nm Technology Based Low Power SRAM Memoryiosrjce
High integration density, low power and fastperformance are all critical parameters in designing of
memory blocks. Static Random Access Memories (SRAMs)’s focusing on optimizing dynamic power concept of
virtual source transistors is used for removing direct connection between VDD and GND.
Also stacking effect can be reduced by switching off the stacktransistors when the memory is ideal and the
leakage current using SVL techniques This paper discusses the evolution of 9t SRAM circuits in terms of low
power consumption, The whole circuit verification is done on the Tanner tool, Schematic of the
SRAM cell is designed on the S-Edit and net list simulation done by using T-spice and waveforms are analyzed
through the W-edit
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
In modern high performance integrated circuits, maximum of the total active mode energy is
consumed due to leakage current. SRAM cell array is main source of leakage current since majority of
transistor are utilized for on-chip memory in today high performance microprocessor and system on chip
designs. Therefore the design of low leakage SRAM is required. Reducing power dissipation, supply voltage,
leakage currents, area of chip are the most important parameters in today`s VLSI designs. But scaling of these
parameters will lead to drastic increase in sub threshold leakage currents and power dissipation because of that
performance of the design is degraded. So to overcome these issues it is better to concentrate on reduction of
active leakage currents and dynamic power dissipation by using power reduction techniques. In this paper 9T
SRAM (data retention p-gated) cell for low voltage and energy constrain application is analyzed with respect to
power dissipation, area and delay. The analyzed design of 9T SRAM cell with MTCMOS technique has been
proposed. Designed circuits are simulated in Microwind 3.1 VLSI CAD Tool in 90 and 65nm CMOS technology.
The International Journal of Engineering and Science (The IJES)theijes
The International Journal of Engineering & Science is aimed at providing a platform for researchers, engineers, scientists, or educators to publish their original research results, to exchange new ideas, to disseminate information in innovative designs, engineering experiences and technological skills. It is also the Journal's objective to promote engineering and technology education. All papers submitted to the Journal will be blind peer-reviewed. Only original articles will be published.
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
The performance of the cell deteriorates, when static random access memory (SRAM) cell is operated below 1V supply voltage with continuous scale down of the complementary metal oxide semiconductor (CMOS) technology. The conventional 6T, 8T-SRAM cells suffer writeability and read static noise margins (SNM) at low-voltages leads to degradation of cell stability. To improve the cell stability and reduce the dynamic power dissipation at low- voltages of the SRAM cell, we proposed four SRAM cells based on inverter structures with less energy consumption using voltage divider bias current sink/source inverter and NOR/NAND gate using a pseudo-nMOS inverter. The design and implementation of SRAM cell using proposed inverter structures are compared with standard 6T, 8T and ST-11T SRAM cells for different supply voltages at 22-nm CMOS technology exhibit better performance of the cell. The read/write static noise margin of the cell significantly increases due to voltage divider bias network built with larger cell-ratio during read path. The load capacitance of the cell is reduced with minimized switching transitions of the devices during high-to-low and low- to-high of the pull-up and pull-down networks from VDD to ground leads to on an average 54% of dynamic power consumption. When compared with the existing ones, the read/write power of the proposed cells is reduced to 30%. The static power gets reduced by 24% due to stacking of transistors takes place in the proposed SRAM cells as compare to existing ones. The layout of the proposed cells is drawn at a 45-nm technology, and occupies an area of 1.5 times greater and 1.8 times greater as compared with 6T-SRAM cell.
250nm Technology Based Low Power SRAM Memoryiosrjce
High integration density, low power and fastperformance are all critical parameters in designing of
memory blocks. Static Random Access Memories (SRAMs)’s focusing on optimizing dynamic power concept of
virtual source transistors is used for removing direct connection between VDD and GND.
Also stacking effect can be reduced by switching off the stacktransistors when the memory is ideal and the
leakage current using SVL techniques This paper discusses the evolution of 9t SRAM circuits in terms of low
power consumption, The whole circuit verification is done on the Tanner tool, Schematic of the
SRAM cell is designed on the S-Edit and net list simulation done by using T-spice and waveforms are analyzed
through the W-edit
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
A Novel Low Power Energy Efficient SRAM Cell With Reduced Power Consumption u...iosrjce
In modern high performance integrated circuits, maximum of the total active mode energy is
consumed due to leakage current. SRAM cell array is main source of leakage current since majority of
transistor are utilized for on-chip memory in today high performance microprocessor and system on chip
designs. Therefore the design of low leakage SRAM is required. Reducing power dissipation, supply voltage,
leakage currents, area of chip are the most important parameters in today`s VLSI designs. But scaling of these
parameters will lead to drastic increase in sub threshold leakage currents and power dissipation because of that
performance of the design is degraded. So to overcome these issues it is better to concentrate on reduction of
active leakage currents and dynamic power dissipation by using power reduction techniques. In this paper 9T
SRAM (data retention p-gated) cell for low voltage and energy constrain application is analyzed with respect to
power dissipation, area and delay. The analyzed design of 9T SRAM cell with MTCMOS technique has been
proposed. Designed circuits are simulated in Microwind 3.1 VLSI CAD Tool in 90 and 65nm CMOS technology.
The International Journal of Engineering and Science (The IJES)theijes
The International Journal of Engineering & Science is aimed at providing a platform for researchers, engineers, scientists, or educators to publish their original research results, to exchange new ideas, to disseminate information in innovative designs, engineering experiences and technological skills. It is also the Journal's objective to promote engineering and technology education. All papers submitted to the Journal will be blind peer-reviewed. Only original articles will be published.
Implementation of High Reliable 6T SRAM Cell Designiosrjce
Memory can be formed with the integration of large number of basic storing element called cells.
SRAM cell is one of the basic storing unit of volatile semiconductor memory that stores binary logic '1' or '0' bit.
Modified read and write circuits were proposed in this paper to address incorrect read and write operations in
conventional 6T SRAM cell design available in open literature. Design of a new highly reliable 6T SRAM cell
design is proposed with reliable read, write operations and negative bit line voltage (NBLV). Simulations are
carried out using MENTOR GRAPHICS
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
As modern technology is spreading fast, it is very
important to design low power, high performance, fast
responding SRAM(Static Random Access Memory) since they
are critical component in high performance processors. In
this paper we discuss about the noise effect of different SRAM
circuits during read operation which hinders the stability of
the SRAM cell. This paper also represents a modified 6T
SRAM cell which increases the cell stability without
increasing transistor count.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell Ieee Xpert
A Single-Ended With Dynamic Feedback Control
8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control
8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control
8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control
8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control
8T Subthreshold SRAM Cell
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...jedt_journal
In recent years, to reduce power consumption and increase cell resistance against soft error, several subthreshold SRAM cell have been provided. Also, in the memory design, to increase the memory density and reduce the occupied area, sub-100 nm technologies have been used. These technologies also increase the sensitivity of the cell against soft error. Among the proposed methods to confront soft error, bitinterleaving
structure is one of the most successful methods. But the designed bit-interleaving cells usually have many transistors in order to achieve the ideal features. Moreover, another problem in the bitinterleaving cells is half-select issue. In this paper, a single-ended sub-threshold cell is presented. This cell has been designed in multi-Vt 32nm technology. On the other hand, the suggested cell can be implemented in the bit-interleaving structure to confront soft error. In the cell, 7 transistors have been used while the cell is without half-select problem. Simulations show the suggested cell has less power consumption compared with standard 6T and other bit-interleaving cells. Also, in the proposed cell, write margin and
write time delay are better than the under comparison cells, while the suggested cell stability in read and hold modes and read time delay are also optimal.
IOSR Journal of Electronics and Communication Engineering(IOSR-JECE) is an open access international journal that provides rapid publication (within a month) of articles in all areas of electronics and communication engineering and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications in electronics and communication engineering. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
As there is a demand for portable electronic systems or devices, there is an incremental growth in the technology in the past few decades and also technology is cumulative at a random rate, devices are consuming large amount of power due to this the life of the battery is draining fast. so there must be a alternative devices or circuits which can reduce the power by efficiently maintaining the area and performance, therefore life of battery can be increased. As SRAM is the heart of block in all the electronic design, where the power consumption is maximum there by analyzing, estimating & modifying or changing the logic, will be able to reduce the power and performance can be greatly achieved. This proposal describes under the principle of ultra-low power logic approach which operates under subthreshold voltage operating which leads to lower power and also efficient in functionality along with secondary constraints.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
In recent years the demand for low power devices has been increases tremendously. To solve the power dissipation problem, many researchers have proposed different ideas from the device level to the architectural level and above. However, there is no universal way to avoid tradeoffs between power, delay and area, thus designers are required to choose appropriate techniques that satisfy application and product needs. The demand for static random-access memory (SRAM) is increasing with large use of SRAM in System On-Chip and high-performance VLSI circuits. This paper represents the simulation of different SRAM cells and their comparative analysis on different parameters such as Power Supply Voltage, area efficiency etc to enhance the performance. All the simulations have been carried out on BSIM 3V3 90nm, 45nm and 32 technology at Tanner EDA tool.
An Innovative Design solution for minimizing Power Dissipation in SRAM CellIJERA Editor
Over the years, the development of the logic on the chip is increased. To sustain and drive the logic flow, various techniques and SRAM cell designs have been implemented. The basic element of memory design is 6T SRAM cell. But while dealing with this 6T SRAM cell there are some issues with the parametric analysis on the performance of the cell. This paper presents an innovative design idea of new 8T RAM cell with various parametric analysis. The proposed cell is compared with the standard cell in terms of different parameters such as area, speed and power consumption along with the loading effect with the increase in load capacitance on the cell. The structure is designed with CMOS 45 nm Technology with BSIM 4 MOS modelling using Microwind 3.5 software tool
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYVLSICS Design
In this paper, a new single-port five-transistor (5T) Static Random Access Memory (SRAM) cell with
integrated read/write assist is proposed. Amongst the assist circuitry, a voltage control circuit is coupled to
the sources corresponding to driver transistors of each row memory cells. This configuration is aimed to
control the source voltages of driver transistors under different operating modes. Specifically, during a
write operation, by means of sizing the driver transistor close to bitline to resolve the write ‘1’ issue. In
addition, associated with a two-stage reading mechanism to increase the reading speed and to avoid
unnecessary power consumption. Finally, with the standby start-up cir
Design and Simulation Low power SRAM Circuitsijsrd.com
SRAMs), focusing on optimizing delay and power. As the scaling trends in the speed and power of SRAMs with size and technology and find that the SRAM delay scales as the logarithm of its size as long as the interconnect delay is negligible. Non-scaling of threshold mismatches with process scaling, causes the signal swings in the bitlines and data lines also not to scale, leading to an increase in the relative delay of an SRAM, across technology generations. Appropriate methods for reduction of power consumption were studied such as capacitance reduction, very low operating voltages, DC and AC current reduction and suppression of leakage currents to name a few.. Many of reviewed techniques are applicable to other applications such as ASICs, DSPs, etc. Battery and solar-cell operation requires an operating voltage environment in low voltage area. These conditions demand new design approaches and more sophisticated concepts to retain high device reliability. The proposed techniques (USRS and LPRS) are topology based and hence easier to implement.
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
In the featuring VLSI era, compact electronic devices are popular. The reliability and durability of such compact devices relies on low power utilization. The purpose of this project was to implement a low power adiabatic Static Random Access Memory (SRAM), with the following objectives - To reduce the power waste by means of stepwise charging using tank capacitors which is an adiabatic way of generating power clock. This method is capable of recuperating the electrical energy back to the source. Further to examine the Static Noise Margin (SNM) – a parameter which gives detailed information about the cell stability – in contrast with conventional 6T, 7T and 8T topologies of SRAM under 180 nm technology. Finally, SNM variations with respect to process parameters are also discussed. All the implementations and analysis were made using CADENCE tool and MATLAB tool.
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...iosrjce
This paper focuses mainly on dynamic power dissipations at different temperature for both read and
write operations of 12T SRAM. In the proposed 12T structure virtual vdd concept is employed because of this
leakage current will reduce. Hence reduction in leakage current causes reduction in dynamic power. Power
dissipation of the proposed SRAM cell have been determined and compared to those of some other existing
memory cells. Proposed cell is a short channel BSIM4 model. It is observed that power dissipation of 12T
SRAM for read operation at 40̊
̊
is 44.7nw and for write operation it is 38.79nw. The proposed SRAM cell
dissipates less power. Simulation has been done in Tanner-13 EDA tool for 50nm.
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
High speed and low power consumption have been the primary issue to design Static Random Access Memory (SRAM), but we are facing new challenges with the scaling of technology. The stability and speed of SRAM are important issues to improve efficiency and performance of the system. Stability of the SRAM depends on the static noise margin (SNM) so the noise margin is also important parameter for the design of memory because the higher noise margin confirms the high speed of the SRAM cell. In this paper, the improved 6T SRAM cell shows maximum reduction in power consumption of 88%, maximum reduction in delay of 64% and maximum SNM of 17% increases compared with 7T SRAM cell.
Because of the rapid growth in technology breakthroughs, including
multimedia and cell phones, Telugu character recognition (TCR) has recently
become a popular study area. It is still necessary to construct automated and
intelligent online TCR models, even if many studies have focused on offline
TCR models. The Telugu character dataset construction and validation using
an Inception and ResNet-based model are presented. The collection of 645
letters in the dataset includes 18 Achus, 38 Hallus, 35 Othulu, 34×16
Guninthamulu, and 10 Ankelu. The proposed technique aims to efficiently
recognize and identify distinctive Telugu characters online. This model's main
pre-processing steps to achieve its goals include normalization, smoothing,
and interpolation. Improved recognition performance can be attained by using
stochastic gradient descent (SGD) to optimize the model's hyperparameters.
Scientific workload execution on a distributed computing platform such as a
cloud environment is time-consuming and expensive. The scientific workload
has task dependencies with different service level agreement (SLA)
prerequisites at different levels. Existing workload scheduling (WS) designs
are not efficient in assuring SLA at the task level. Alongside, induces higher
costs as the majority of scheduling mechanisms reduce either time or energy.
In reducing, cost both energy and makespan must be optimized together for
allocating resources. No prior work has considered optimizing energy and
processing time together in meeting task level SLA requirements. This paper
presents task level energy and performance assurance-workload scheduling
(TLEPA-WS) algorithm for the distributed computing environment. The
TLEPA-WS guarantees energy minimization with the performance
requirement of the parallel application under a distributed computational
environment. Experiment results show a significant reduction in using energy
and makespan; thereby reducing the cost of workload execution in comparison
with various standard workload execution models.
More Related Content
Similar to Ultra-low leakage static random access memory design
Implementation of High Reliable 6T SRAM Cell Designiosrjce
Memory can be formed with the integration of large number of basic storing element called cells.
SRAM cell is one of the basic storing unit of volatile semiconductor memory that stores binary logic '1' or '0' bit.
Modified read and write circuits were proposed in this paper to address incorrect read and write operations in
conventional 6T SRAM cell design available in open literature. Design of a new highly reliable 6T SRAM cell
design is proposed with reliable read, write operations and negative bit line voltage (NBLV). Simulations are
carried out using MENTOR GRAPHICS
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
As modern technology is spreading fast, it is very
important to design low power, high performance, fast
responding SRAM(Static Random Access Memory) since they
are critical component in high performance processors. In
this paper we discuss about the noise effect of different SRAM
circuits during read operation which hinders the stability of
the SRAM cell. This paper also represents a modified 6T
SRAM cell which increases the cell stability without
increasing transistor count.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell Ieee Xpert
A Single-Ended With Dynamic Feedback Control
8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control
8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control
8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control
8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control
8T Subthreshold SRAM Cell
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...jedt_journal
In recent years, to reduce power consumption and increase cell resistance against soft error, several subthreshold SRAM cell have been provided. Also, in the memory design, to increase the memory density and reduce the occupied area, sub-100 nm technologies have been used. These technologies also increase the sensitivity of the cell against soft error. Among the proposed methods to confront soft error, bitinterleaving
structure is one of the most successful methods. But the designed bit-interleaving cells usually have many transistors in order to achieve the ideal features. Moreover, another problem in the bitinterleaving cells is half-select issue. In this paper, a single-ended sub-threshold cell is presented. This cell has been designed in multi-Vt 32nm technology. On the other hand, the suggested cell can be implemented in the bit-interleaving structure to confront soft error. In the cell, 7 transistors have been used while the cell is without half-select problem. Simulations show the suggested cell has less power consumption compared with standard 6T and other bit-interleaving cells. Also, in the proposed cell, write margin and
write time delay are better than the under comparison cells, while the suggested cell stability in read and hold modes and read time delay are also optimal.
IOSR Journal of Electronics and Communication Engineering(IOSR-JECE) is an open access international journal that provides rapid publication (within a month) of articles in all areas of electronics and communication engineering and its applications. The journal welcomes publications of high quality papers on theoretical developments and practical applications in electronics and communication engineering. Original research papers, state-of-the-art reviews, and high quality technical notes are invited for publications.
Design & Implementation of Subthreshold Memory Cell design based on the prima...IOSRJVSP
As there is a demand for portable electronic systems or devices, there is an incremental growth in the technology in the past few decades and also technology is cumulative at a random rate, devices are consuming large amount of power due to this the life of the battery is draining fast. so there must be a alternative devices or circuits which can reduce the power by efficiently maintaining the area and performance, therefore life of battery can be increased. As SRAM is the heart of block in all the electronic design, where the power consumption is maximum there by analyzing, estimating & modifying or changing the logic, will be able to reduce the power and performance can be greatly achieved. This proposal describes under the principle of ultra-low power logic approach which operates under subthreshold voltage operating which leads to lower power and also efficient in functionality along with secondary constraints.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
In recent years the demand for low power devices has been increases tremendously. To solve the power dissipation problem, many researchers have proposed different ideas from the device level to the architectural level and above. However, there is no universal way to avoid tradeoffs between power, delay and area, thus designers are required to choose appropriate techniques that satisfy application and product needs. The demand for static random-access memory (SRAM) is increasing with large use of SRAM in System On-Chip and high-performance VLSI circuits. This paper represents the simulation of different SRAM cells and their comparative analysis on different parameters such as Power Supply Voltage, area efficiency etc to enhance the performance. All the simulations have been carried out on BSIM 3V3 90nm, 45nm and 32 technology at Tanner EDA tool.
An Innovative Design solution for minimizing Power Dissipation in SRAM CellIJERA Editor
Over the years, the development of the logic on the chip is increased. To sustain and drive the logic flow, various techniques and SRAM cell designs have been implemented. The basic element of memory design is 6T SRAM cell. But while dealing with this 6T SRAM cell there are some issues with the parametric analysis on the performance of the cell. This paper presents an innovative design idea of new 8T RAM cell with various parametric analysis. The proposed cell is compared with the standard cell in terms of different parameters such as area, speed and power consumption along with the loading effect with the increase in load capacitance on the cell. The structure is designed with CMOS 45 nm Technology with BSIM 4 MOS modelling using Microwind 3.5 software tool
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYVLSICS Design
In this paper, a new single-port five-transistor (5T) Static Random Access Memory (SRAM) cell with
integrated read/write assist is proposed. Amongst the assist circuitry, a voltage control circuit is coupled to
the sources corresponding to driver transistors of each row memory cells. This configuration is aimed to
control the source voltages of driver transistors under different operating modes. Specifically, during a
write operation, by means of sizing the driver transistor close to bitline to resolve the write ‘1’ issue. In
addition, associated with a two-stage reading mechanism to increase the reading speed and to avoid
unnecessary power consumption. Finally, with the standby start-up cir
Design and Simulation Low power SRAM Circuitsijsrd.com
SRAMs), focusing on optimizing delay and power. As the scaling trends in the speed and power of SRAMs with size and technology and find that the SRAM delay scales as the logarithm of its size as long as the interconnect delay is negligible. Non-scaling of threshold mismatches with process scaling, causes the signal swings in the bitlines and data lines also not to scale, leading to an increase in the relative delay of an SRAM, across technology generations. Appropriate methods for reduction of power consumption were studied such as capacitance reduction, very low operating voltages, DC and AC current reduction and suppression of leakage currents to name a few.. Many of reviewed techniques are applicable to other applications such as ASICs, DSPs, etc. Battery and solar-cell operation requires an operating voltage environment in low voltage area. These conditions demand new design approaches and more sophisticated concepts to retain high device reliability. The proposed techniques (USRS and LPRS) are topology based and hence easier to implement.
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
In the featuring VLSI era, compact electronic devices are popular. The reliability and durability of such compact devices relies on low power utilization. The purpose of this project was to implement a low power adiabatic Static Random Access Memory (SRAM), with the following objectives - To reduce the power waste by means of stepwise charging using tank capacitors which is an adiabatic way of generating power clock. This method is capable of recuperating the electrical energy back to the source. Further to examine the Static Noise Margin (SNM) – a parameter which gives detailed information about the cell stability – in contrast with conventional 6T, 7T and 8T topologies of SRAM under 180 nm technology. Finally, SNM variations with respect to process parameters are also discussed. All the implementations and analysis were made using CADENCE tool and MATLAB tool.
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...iosrjce
This paper focuses mainly on dynamic power dissipations at different temperature for both read and
write operations of 12T SRAM. In the proposed 12T structure virtual vdd concept is employed because of this
leakage current will reduce. Hence reduction in leakage current causes reduction in dynamic power. Power
dissipation of the proposed SRAM cell have been determined and compared to those of some other existing
memory cells. Proposed cell is a short channel BSIM4 model. It is observed that power dissipation of 12T
SRAM for read operation at 40̊
̊
is 44.7nw and for write operation it is 38.79nw. The proposed SRAM cell
dissipates less power. Simulation has been done in Tanner-13 EDA tool for 50nm.
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellIJERA Editor
High speed and low power consumption have been the primary issue to design Static Random Access Memory (SRAM), but we are facing new challenges with the scaling of technology. The stability and speed of SRAM are important issues to improve efficiency and performance of the system. Stability of the SRAM depends on the static noise margin (SNM) so the noise margin is also important parameter for the design of memory because the higher noise margin confirms the high speed of the SRAM cell. In this paper, the improved 6T SRAM cell shows maximum reduction in power consumption of 88%, maximum reduction in delay of 64% and maximum SNM of 17% increases compared with 7T SRAM cell.
Because of the rapid growth in technology breakthroughs, including
multimedia and cell phones, Telugu character recognition (TCR) has recently
become a popular study area. It is still necessary to construct automated and
intelligent online TCR models, even if many studies have focused on offline
TCR models. The Telugu character dataset construction and validation using
an Inception and ResNet-based model are presented. The collection of 645
letters in the dataset includes 18 Achus, 38 Hallus, 35 Othulu, 34×16
Guninthamulu, and 10 Ankelu. The proposed technique aims to efficiently
recognize and identify distinctive Telugu characters online. This model's main
pre-processing steps to achieve its goals include normalization, smoothing,
and interpolation. Improved recognition performance can be attained by using
stochastic gradient descent (SGD) to optimize the model's hyperparameters.
Scientific workload execution on a distributed computing platform such as a
cloud environment is time-consuming and expensive. The scientific workload
has task dependencies with different service level agreement (SLA)
prerequisites at different levels. Existing workload scheduling (WS) designs
are not efficient in assuring SLA at the task level. Alongside, induces higher
costs as the majority of scheduling mechanisms reduce either time or energy.
In reducing, cost both energy and makespan must be optimized together for
allocating resources. No prior work has considered optimizing energy and
processing time together in meeting task level SLA requirements. This paper
presents task level energy and performance assurance-workload scheduling
(TLEPA-WS) algorithm for the distributed computing environment. The
TLEPA-WS guarantees energy minimization with the performance
requirement of the parallel application under a distributed computational
environment. Experiment results show a significant reduction in using energy
and makespan; thereby reducing the cost of workload execution in comparison
with various standard workload execution models.
Investigating human subjects is the goal of predicting human emotions in the
real world scenario. A significant number of psychological effects require
(feelings) to be produced, directly releasing human emotions. The
development of effect theory leads one to believe that one must be aware of
one's sentiments and emotions to forecast one's behavior. The proposed line
of inquiry focuses on developing a reliable model incorporating
neurophysiological data into actual feelings. Any change in emotional affect
will directly elicit a response in the body's physiological systems. This
approach is named after the notion of Gaussian mixture models (GMM). The
statistical reaction following data processing, quantitative findings on emotion
labels, and coincidental responses with training samples all directly impact the
outcomes that are accomplished. In terms of statistical parameters such as
population mean and standard deviation, the suggested method is evaluated
compared to a technique considered to be state-of-the-art. The proposed
system determines an individual's emotional state after a minimum of 6
iterative learning using the Gaussian expectation-maximization (GEM)
statistical model, in which the iterations tend to continue to zero error. Perhaps
each of these improves predictions while simultaneously increasing the
amount of value extracted.
Early diagnosis of cancers is a major requirement for patients and a
complicated job for the oncologist. If it is diagnosed early, it could have made
the patient more likely to live. For a few decades, fuzzy logic emerged as an
emphatic technique in the identification of diseases like different types of
cancers. The recognition of cancer diseases mostly operated with inexactness,
inaccuracy, and vagueness. This paper aims to design the fuzzy expert system
(FES) and its implementation for the detection of prostate cancer. Specifically,
prostate-specific antigen (PSA), prostate volume (PV), age, and percentage
free PSA (%FPSA) are used to determine prostate cancer risk (PCR), while
PCR serves as an output parameter. Mamdani fuzzy inference method is used
to calculate a range of PCR. The system provides a scale of risk of prostate
cancer and clears the path for the oncologist to determine whether their
patients need a biopsy. This system is fast as it requires minimum calculation
and hence comparatively less time which reduces mortality and morbidity and
is more reliable than other economic systems and can be frequently used by
doctors.
The biomedical profession has gained importance due to the rapid and accurate diagnosis of clinical patients using computer-aided diagnosis (CAD) tools.
The diagnosis and treatment of Alzheimer’s disease (AD) using complementary multimodalities can improve the quality of life and mental state of patients.
In this study, we integrated a lightweight custom convolutional neural network
(CNN) model and nature-inspired optimization techniques to enhance the performance, robustness, and stability of progress detection in AD. A multi-modal
fusion database approach was implemented, including positron emission tomography (PET) and magnetic resonance imaging (MRI) datasets, to create a fused
database. We compared the performance of custom and pre-trained deep learning models with and without optimization and found that employing natureinspired algorithms like the particle swarm optimization algorithm (PSO) algorithm significantly improved system performance. The proposed methodology,
which includes a fused multimodality database and optimization strategy, improved performance metrics such as training, validation, test accuracy, precision, and recall. Furthermore, PSO was found to improve the performance of
pre-trained models by 3-5% and custom models by up to 22%. Combining different medical imaging modalities improved the overall model performance by
2-5%. In conclusion, a customized lightweight CNN model and nature-inspired
optimization techniques can significantly enhance progress detection, leading to
better biomedical research and patient care.
Class imbalance is a pervasive issue in the field of disease classification from
medical images. It is necessary to balance out the class distribution while training a model. However, in the case of rare medical diseases, images from affected
patients are much harder to come by compared to images from non-affected
patients, resulting in unwanted class imbalance. Various processes of tackling
class imbalance issues have been explored so far, each having its fair share of
drawbacks. In this research, we propose an outlier detection based image classification technique which can handle even the most extreme case of class imbalance. We have utilized a dataset of malaria parasitized and uninfected cells. An
autoencoder model titled AnoMalNet is trained with only the uninfected cell images at the beginning and then used to classify both the affected and non-affected
cell images by thresholding a loss value. We have achieved an accuracy, precision, recall, and F1 score of 98.49%, 97.07%, 100%, and 98.52% respectively,
performing better than large deep learning models and other published works.
As our proposed approach can provide competitive results without needing the
disease-positive samples during training, it should prove to be useful in binary
disease classification on imbalanced datasets.
Recently, plant identification has become an active trend due to encouraging
results achieved in plant species detection and plant classification fields
among numerous available plants using deep learning methods. Therefore,
plant classification analysis is performed in this work to address the problem
of accurate plant species detection in the presence of multiple leaves together,
flowers, and noise. Thus, a convolutional neural network based deep feature
learning and classification (CNN-DFLC) model is designed to analyze
patterns of plant leaves and perform classification using generated finegrained feature weights. The proposed CNN-DFLC model precisely estimates
which the given image belongs to which plant species. Several layers and
blocks are utilized to design the proposed CNN-DFLC model. Fine-grained
feature weights are obtained using convolutional and pooling layers. The
obtained feature maps in training are utilized to predict labels and model
performance is tested on the Vietnam plant image (VPN-200) dataset. This
dataset consists of a total number of 20,000 images and testing results are
achieved in terms of classification accuracy, precision, recall, and other
performance metrics. The mean classification accuracy obtained using the
proposed CNN-DFLC model is 96.42% considering all 200 classes from the
VPN-200 dataset.
Big data as a service (BDaaS) platform is widely used by various
organizations for handling and processing the high volume of data generated
from different internet of things (IoT) devices. Data generated from these IoT
devices are kept in the form of big data with the help of cloud computing
technology. Researchers are putting efforts into providing a more secure and
protected access environment for the data available on the cloud. In order to
create a safe, distributed, and decentralised environment in the cloud,
blockchain technology has emerged as a useful tool. In this research paper, we
have proposed a system that uses blockchain technology as a tool to regulate
data access that is provided by BDaaS platforms. We are securing the access
policy of data by using a modified form of ciphertext policy-attribute based
encryption (CP-ABE) technique with the help of blockchain technology. For
secure data access in BDaaS, algorithms have been created using a mix of CPABE with blockchain technology. Proposed smart contract algorithms are
implemented using Eclipse 7.0 IDE and the cloud environment has been
simulated on CloudSim tool. Results of key generation time, encryption time,
and decryption time has been calculated and compared with access control
mechanism without blockchain technology.
Internet of things (IoT) has become one of the eminent phenomena in human
life along with its collaboration with wireless sensor networks (WSNs), due
to enormous growth in the domain; there has been a demand to address the
various issues regarding it such as energy consumption, redundancy, and
overhead. Data aggregation (DA) is considered as the basic mechanism to
minimize the energy efficiency and communication overhead; however,
security plays an important role where node security is essential due to the
volatile nature of WSN. Thus, we design and develop proximate node aware
secure data aggregation (PNA-SDA). In the PNA-SDA mechanism, additional
data is used to secure the original data, and further information is shared with
the proximate node; moreover, further security is achieved by updating the
state each time. Moreover, the node that does not have updated information is
considered as the compromised node and discarded. PNA-SDA is evaluated
considering the different parameters like average energy consumption, and
average deceased node; also, comparative analysis is carried out with the
existing model in terms of throughput and correct packet identification.
Drones provide an alternative progression in protection submissions since
they are capable of conducting autonomous seismic investigations. Recent
advancement in unmanned aerial vehicle (UAV) communication is an internet
of a drone combined with 5G networks. Because of the quick utilization of
rapidly progressed registering frameworks besides 5G officialdoms, the
information from the user is consistently refreshed and pooled. Thus, safety
or confidentiality is vital among clients, and a proficient substantiation
methodology utilizing a vigorous sanctuary key. Conventional procedures
ensure a few restrictions however taking care of the assault arrangements in
information transmission over the internet of drones (IOD) environmental
frameworks. A unique hyperelliptical curve (HEC) cryptographically based
validation system is proposed to provide protected data facilities among
drones. The proposed method has been compared with the existing methods
in terms of packet loss rate, computational cost, and delay and thereby
provides better insight into efficient and secure communication. Finally, the
simulation results show that our strategy is efficient in both computation and
communication.
Monitoring behavior, numerous actions, or any such information is considered
as surveillance and is done for information gathering, influencing, managing,
or directing purposes. Citizens employ surveillance to safeguard their
communities. Governments do this for the purposes of intelligence collection,
including espionage, crime prevention, the defense of a method, a person, a
group, or an item; or the investigation of criminal activity. Using an internet
of things (IoT) rover, the area will be secured with better secrecy and
efficiency instead of humans, will provide an additional safety step. In this
paper, there is a discussion about an IoT rover for remote surveillance based
around a Raspberry Pi microprocessor which will be able to monitor a
closed/open space. This rover will allow safer survey operations and would
help to reduce the risks involved with it.
In a world where climate change looms large the spotlight often shines on
greenhouse gases, but the shadow of man-made aerosols should not be
underestimated. These tiny particles play a pivotal role in disrupting Earth's
radiative equilibrium, yet many mysteries surround their influence on various
physical aspects of our planet. The root of these mysteries lies in the limited
data we have on aerosol sources, formation processes, conversion dynamics,
and collection methods. Aerosols, composed of particulate matter (PM),
sulfates, and nitrates, hold significant sway across the hemisphere. Accurate
measurement demands the refinement of in-situ, satellite, and ground-based
techniques. As aerosols interact intricately with the environment, their full
impact remains an enigma. Enter a groundbreaking study in Morocco that
dared to compare an internet of thing (IoT) system with satellite-based
atmospheric models, with a focus on fine particles below 10 and 2.5
micrometers in diameter. The initial results, particularly in regions abundant
with extraction pits, shed light on the IoT system's potential to decode
aerosols' role in the grand narrative of climate change. These findings inspire
hope as we confront the formidable global challenge of climate change.
The use of technology has a significant impact to reduce the consequences of
accidents. Sensors, small components that detect interactions experienced by
various components, play a crucial role in this regard. This study focuses on
how the MPU6050 sensor module can be used to detect the movement of
people who are falling, defined as the inability of the lower body, including
the hips and feet, to support the body effectively. An airbag system is
proposed to reduce the impact of a fall. The data processing method in this
study involves the use of a threshold value to identify falling motion. The
results of the study have identified a threshold value for falling motion,
including an acceleration relative (AR) value of less than or equal to 0.38 g,
an angle slope of more than or equal to 40 degrees, and an angular velocity
of more than or equal to 30 °/s. The airbag system is designed to inflate
faster than the time of impact, with a gas flow rate of 0.04876 m3
/s and an
inflating time of 0.05 s. The overall system has a specificity value of 100%,
a sensitivity of 85%, and an accuracy of 94%.
The fundamental principle of the paper is that the soil moisture sensor obtains
the moisture content level of the soil sample. The water pump is automatically
activated if the moisture content is insufficient, which causes water to flow
into the soil. The water pump is immediately turned off when the moisture
content is high enough. Smart home, smart city, smart transportation, and
smart farming are just a few of the new intelligent ideas that internet of things
(IoT) includes. The goal of this method is to increase productivity and
decrease manual labour among farmers. In this paper, we present a system for
monitoring and regulating water flow that employs a soil moisture sensor to
keep track of soil moisture content as well as the land’s water level to keep
track of and regulate the amount of water supplied to the plant. The device
also includes an automated led lighting system.
In order to provide sensing services to low-powered IoT devices, wireless sensor networks (WSNs) organize specialized transducers into networks. Energy usage is one of the most important design concerns in WSN because it is very hard to replace or recharge the batteries in sensor nodes. For an energy-constrained network, the clustering technique is crucial in preserving battery life. By strategically selecting a cluster head (CH), a network's load can be balanced, resulting in decreased energy usage and extended system life. Although clustering has been predominantly used in the literature, the concept of chain-based clustering has not yet been explored. As a result, in this paper, we employ a chain-based clustering architecture for data dissemination in the network. Furthermore, for CH selection, we employ the coati optimisation algorithm, which was recently proposed and has demonstrated significant improvement over other optimization algorithms. In this method, the parameters considered for selecting the CH are energy, node density, distance, and the network’s average energy. The simulation results show tremendous improvement over the competitive cluster-based routing algorithms in the context of network lifetime, stability period (first node dead), transmission rate, and the network's power reserves.
The construction industry is an industry that is always surrounded by
uncertainties and risks. The industry is always associated with a threatindustry which has a complex, tedious layout and techniques characterized by
unpredictable circumstances. It comprises a variety of human talents and the
coordination of different areas and activities associated with it. In this
competitive era of the construction industry, delays and cost overruns of the
project are often common in every project and the causes of that are also
common. One of the problems which we are trying to cater to is the improper
handling of materials at the construction site. In this paper, we propose
developing a system that is capable of tracking construction material on site
that would benefit the contractor and client for better control over inventory
on-site and to minimize loss of material that occurs due to theft and misplacing
of materials.
Today, health monitoring relies heavily on technological advancements. This
study proposes a low-power wide-area network (LPWAN) based, multinodal
health monitoring system to monitor vital physiological data. The suggested
system consists of two nodes, an indoor node, and an outdoor node, and the
nodes communicate via long range (LoRa) transceivers. Outdoor nodes use an
MPU6050 module, heart rate, oxygen pulse, temperature, and skin resistance
sensors and transmit sensed values to the indoor node. We transferred the data
received by the master node to the cloud using the Adafruit cloud service. The
system can operate with a coverage of 4.5 km, where the optimal distance
between outdoor sensor nodes and the indoor master node is 4 km. To further
predict fall detection, various machine learning classification techniques have
been applied. Upon comparing various classifier techniques, the decision tree
method achieved an accuracy of 0.99864 with a training and testing ratio of
70:30. By developing accurate prediction models, we can identify high-risk
individuals and implement preventative measures to reduce the likelihood of
a fall occurring. Remote monitoring of the health and physical status of elderly
people has proven to be the most beneficial application of this technology.
The effectiveness of adaptive filters are mainly dependent on the design
techniques and the algorithm of adaptation. The most common adaptation
technique used is least mean square (LMS) due its computational simplicity.
The application depends on the adaptive filter configuration used and are well
known for system identification and real time applications. In this work, a
modified delayed μ-law proportionate normalized least mean square
(DMPNLMS) algorithm has been proposed. It is the improvised version of the
µ-law proportionate normalized least mean square (MPNLMS) algorithm.
The algorithm is realized using Ladner-Fischer type of parallel prefix
logarithmic adder to reduce the silicon area. The simulation and
implementation of very large-scale integration (VLSI) architecture are done
using MATLAB, Vivado suite and complementary metal–oxide–
semiconductor (CMOS) 90 nm technology node using Cadence RTL and
Genus Compiler respectively. The DMPNLMS method exhibits a reduction
in mean square error, a higher rate of convergence, and more stability. The
synthesis results demonstrate that it is area and delay effective, making it
practical for applications where a faster operating speed is required.
The increasing demand for faster, robust, and efficient device development of enabling technology to mass production of industrial research in circuit design deals with challenges like size, efficiency, power, and scalability. This paper, presents a design and analysis of low power high speed full adder using negative capacitance field effecting transistors. A comprehensive study is performed with adiabatic logic and reversable logic. The performance of full adder is studied with metal oxide field effect transistor (MOSFET) and negative capacitance field effecting (NCFET). The NCFET based full adder offers a low power and high speed compared with conventional MOSFET. The complete design and analysis are performed using cadence virtuoso. The adiabatic logic offering low delay of 0.023 ns and reversable logic is offering low power of 7.19 mw.
The global agriculture system faces significant challenges in meeting the
growing demand for food production, particularly given projections that the
world's population will reach 70% by 2050. Hydroponic farming is an
increasingly popular technique in this field, offering a promising solution to
these challenges. This paper will present the improvement of the current
traditional hydroponic method by providing a system that can be used to
monitor and control the important element in order to help the plant grow up
smoothly. This proposed system is quite efficient and user-friendly that can
be used by anyone. This is a combination of a traditional hydroponic system,
an automatic control system and a smartphone. The primary objective is to
develop a smart system capable of monitoring and controlling potential
hydrogen (pH) levels, a key factor that affects hydroponic plant growth.
Ultimately, this paper offers an alternative approach to address the challenges
of the existing agricultural system and promote the production of clean,
disease-free, and healthy food for a better future.
More from International Journal of Reconfigurable and Embedded Systems (20)
Automobile Management System Project Report.pdfKamal Acharya
The proposed project is developed to manage the automobile in the automobile dealer company. The main module in this project is login, automobile management, customer management, sales, complaints and reports. The first module is the login. The automobile showroom owner should login to the project for usage. The username and password are verified and if it is correct, next form opens. If the username and password are not correct, it shows the error message.
When a customer search for a automobile, if the automobile is available, they will be taken to a page that shows the details of the automobile including automobile name, automobile ID, quantity, price etc. “Automobile Management System” is useful for maintaining automobiles, customers effectively and hence helps for establishing good relation between customer and automobile organization. It contains various customized modules for effectively maintaining automobiles and stock information accurately and safely.
When the automobile is sold to the customer, stock will be reduced automatically. When a new purchase is made, stock will be increased automatically. While selecting automobiles for sale, the proposed software will automatically check for total number of available stock of that particular item, if the total stock of that particular item is less than 5, software will notify the user to purchase the particular item.
Also when the user tries to sale items which are not in stock, the system will prompt the user that the stock is not enough. Customers of this system can search for a automobile; can purchase a automobile easily by selecting fast. On the other hand the stock of automobiles can be maintained perfectly by the automobile shop manager overcoming the drawbacks of existing system.
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSEDuvanRamosGarzon1
AIRCRAFT GENERAL
The Single Aisle is the most advanced family aircraft in service today, with fly-by-wire flight controls.
The A318, A319, A320 and A321 are twin-engine subsonic medium range aircraft.
The family offers a choice of engines
About
Indigenized remote control interface card suitable for MAFI system CCR equipment. Compatible for IDM8000 CCR. Backplane mounted serial and TCP/Ethernet communication module for CCR remote access. IDM 8000 CCR remote control on serial and TCP protocol.
• Remote control: Parallel or serial interface.
• Compatible with MAFI CCR system.
• Compatible with IDM8000 CCR.
• Compatible with Backplane mount serial communication.
• Compatible with commercial and Defence aviation CCR system.
• Remote control system for accessing CCR and allied system over serial or TCP.
• Indigenized local Support/presence in India.
• Easy in configuration using DIP switches.
Technical Specifications
Indigenized remote control interface card suitable for MAFI system CCR equipment. Compatible for IDM8000 CCR. Backplane mounted serial and TCP/Ethernet communication module for CCR remote access. IDM 8000 CCR remote control on serial and TCP protocol.
Key Features
Indigenized remote control interface card suitable for MAFI system CCR equipment. Compatible for IDM8000 CCR. Backplane mounted serial and TCP/Ethernet communication module for CCR remote access. IDM 8000 CCR remote control on serial and TCP protocol.
• Remote control: Parallel or serial interface
• Compatible with MAFI CCR system
• Copatiable with IDM8000 CCR
• Compatible with Backplane mount serial communication.
• Compatible with commercial and Defence aviation CCR system.
• Remote control system for accessing CCR and allied system over serial or TCP.
• Indigenized local Support/presence in India.
Application
• Remote control: Parallel or serial interface.
• Compatible with MAFI CCR system.
• Compatible with IDM8000 CCR.
• Compatible with Backplane mount serial communication.
• Compatible with commercial and Defence aviation CCR system.
• Remote control system for accessing CCR and allied system over serial or TCP.
• Indigenized local Support/presence in India.
• Easy in configuration using DIP switches.
Immunizing Image Classifiers Against Localized Adversary Attacksgerogepatton
This paper addresses the vulnerability of deep learning models, particularly convolutional neural networks
(CNN)s, to adversarial attacks and presents a proactive training technique designed to counter them. We
introduce a novel volumization algorithm, which transforms 2D images into 3D volumetric representations.
When combined with 3D convolution and deep curriculum learning optimization (CLO), itsignificantly improves
the immunity of models against localized universal attacks by up to 40%. We evaluate our proposed approach
using contemporary CNN architectures and the modified Canadian Institute for Advanced Research (CIFAR-10
and CIFAR-100) and ImageNet Large Scale Visual Recognition Challenge (ILSVRC12) datasets, showcasing
accuracy improvements over previous techniques. The results indicate that the combination of the volumetric
input and curriculum learning holds significant promise for mitigating adversarial attacks without necessitating
adversary training.
Online resume builder management system project report.pdfKamal Acharya
This project aims at the Introduction to app Service Management.
This software is designed keeping in mind the user’s efficiency & ease of handling and maintenance , as and secured system over centralized data handling and providing with the features to get the complete study and control over the business.
The report depicts the basics logic used for software development long with the Activity diagrams so that logics may be apprehended without difficulty.
For detailed information, screen layouts, provided along with this report can be viewed.
Although this report is prepared with considering the results required these may be across since the project is subjected to future enhancements as per the need of organizations.
Quality defects in TMT Bars, Possible causes and Potential Solutions.PrashantGoswami42
Maintaining high-quality standards in the production of TMT bars is crucial for ensuring structural integrity in construction. Addressing common defects through careful monitoring, standardized processes, and advanced technology can significantly improve the quality of TMT bars. Continuous training and adherence to quality control measures will also play a pivotal role in minimizing these defects.
Event Management System Vb Net Project Report.pdfKamal Acharya
In present era, the scopes of information technology growing with a very fast .We do not see any are untouched from this industry. The scope of information technology has become wider includes: Business and industry. Household Business, Communication, Education, Entertainment, Science, Medicine, Engineering, Distance Learning, Weather Forecasting. Carrier Searching and so on.
My project named “Event Management System” is software that store and maintained all events coordinated in college. It also helpful to print related reports. My project will help to record the events coordinated by faculties with their Name, Event subject, date & details in an efficient & effective ways.
In my system we have to make a system by which a user can record all events coordinated by a particular faculty. In our proposed system some more featured are added which differs it from the existing system such as security.
Water scarcity is the lack of fresh water resources to meet the standard water demand. There are two type of water scarcity. One is physical. The other is economic water scarcity.
Democratizing Fuzzing at Scale by Abhishek Aryaabh.arya
Presented at NUS: Fuzzing and Software Security Summer School 2024
This keynote talks about the democratization of fuzzing at scale, highlighting the collaboration between open source communities, academia, and industry to advance the field of fuzzing. It delves into the history of fuzzing, the development of scalable fuzzing platforms, and the empowerment of community-driven research. The talk will further discuss recent advancements leveraging AI/ML and offer insights into the future evolution of the fuzzing landscape.
Vaccine management system project report documentation..pdfKamal Acharya
The Division of Vaccine and Immunization is facing increasing difficulty monitoring vaccines and other commodities distribution once they have been distributed from the national stores. With the introduction of new vaccines, more challenges have been anticipated with this additions posing serious threat to the already over strained vaccine supply chain system in Kenya.
Chat application through client server management system project.pdfKamal Acharya
This project focused on creating a chatting application with communication environment. The objective of our project is to build a chatting system to facilitate the communication between two or more clients to obtain an effective channel among the clients themselves. For the application itself, this system can serve as a link to reach out for all clients. The design of the system depends on socket concept where is a software endpoint that establishes bidirectional communication between a server program and one or more client programs. Languages that will be used for the development of this system: Java Development Kit (JDK): is a development environment for building applications and components using the Java programming language.
Student information management system project report ii.pdfKamal Acharya
Our project explains about the student management. This project mainly explains the various actions related to student details. This project shows some ease in adding, editing and deleting the student details. It also provides a less time consuming process for viewing, adding, editing and deleting the marks of the students.
Student information management system project report ii.pdf
Ultra-low leakage static random access memory design
1. International Journal of Reconfigurable and Embedded Systems (IJRES)
Vol. 12, No. 1, March 2023, pp. 60~69
ISSN: 2089-4864, DOI: 10.11591/ijres.v12.i1.pp60-69 60
Journal homepage: http://ijres.iaescore.com
Ultra-low leakage static random access memory design
Didigam Anitha, Mohd. Masood Ahmad
Department of Electronics and Communications, Faculty of Engineering, GITAM University, Telangana, India
Article Info ABSTRACT
Article history:
Received Jul 8, 2022
Revised Aug 7, 2022
Accepted Aug 26, 2022
An ultra-low leakage static random-access memory (SRAM) cell structure
with 8 transistors is proposed in this paper. Compared to the 6T SRAM and
other existing 8T SRAM cells, leakage power of the proposed cell in hold
mode reduced significantly. The stability parameters of the proposed cell are
calculated using butterfly method and also N-curve method. Proposed
SRAM achieves better write margin with slightly less read margin than 6T
SRAM. Proposed technique consumes 790 PW of power in hold mode,
which is very less compared to other existing techniques. Therefore, the
proposed cell is appropriate for hold mode applications. The simulations are
carried out by using Cadence (Virtuoso Schematic and layout editor) tools
with GPDK45-nm technology.
Keywords:
8T-SRAM
Hold power
NC-SRAM
N-curve
SRAM This is an open access article under the CC BY-SA license.
Corresponding Author:
Didigam Anitha
Department of Electronics and Communications, Faculty of Engineering, GITAM University
Hyderabad, Telangana, India
Email: adid@gitam.edu
1. INTRODUCTION
Portable electronic gadgets lead to the scaling of devices and more battery life. To decrease the dynamic
power dissipation, the supply voltage (VDD) is reduced, which makes the threshold voltage (Vth) is also to
decrease. However, sub-threshold power increases due to decreased threshold voltage [1], as it is exponentially
dependent on the Vth. Reduction of oxide thickness (tox) causes gate tunneling current, hot carrier injection and
results in increased gate leakage current. In modern IC’s leakage power is the major concern, as it is around 40%
of total power consumption.
SRAM cell is the one, which consists of cross coupled inverter latch [2], used to store one-bit data. It
retains this data until the supply voltage is ‘ON’. No continuous refreshing mechanism is required like dynamic
RAM (DRAM). SRAM finds applications in cache memory as it provides high-speed operation. These SRAM
arrays cause the main sources of leakage currents as many such cells are present in on-chip memory design [3].
A novel design method is discussed in this paper to reduce the leakage power of SRAM cell by adding
two extra transistors at the power supply. The proposed circuit also achieves good stability parameters along with
the static power reduction. This work is mainly focused on power dissipation in hold mode. Because systems like
CC cameras will be kept in standby mode for long time than active mode. Hence reduction of standby power
without the stored data degradation is a major concern. The leakage power of a Metal oxide silicon field effect
transistor is mainly contributed by sub-threshold leakage, junction leakage and gate leakage currents [4].
When the gate voltage (Vgs) of the Metal oxide silicon field effect transistor (MOSFET) is below
threshold voltage (Vth), sub-threshold leakage occurs. Junction leakage is the leakage due to reverse biased PN
junction current of the MOSFET, occurs due to minority carrier drift in the depletion region. It is dependent on
the doping concentration and junction area.
2. Int J Reconfigurable & Embedded Syst ISSN: 2089-4864
Ultra-low leakage static random access memory design (Didigam Anitha)
61
𝐼𝑠𝑢𝑏𝑡ℎ𝑟𝑒𝑠ℎ𝑜𝑙𝑑 = 𝐼0𝑒
𝑉𝑔𝑠−𝑉𝑡ℎ
𝜂𝑉𝑇 (1 − 𝑒
−𝑉𝑑𝑠
𝑉𝑇 )
where 𝐼0 = 𝜇0𝐶𝑜𝑥
𝑊
𝐿
(𝑚 − 1)(𝑉𝑇)2
Gate leakage current is described by:
𝐼𝑔𝑎𝑡𝑒 = 𝑊𝐿𝐴 (
𝑉𝑜𝑥
𝑡𝑜𝑥
)
2
exp (
−𝐵(1−(1−
𝑉𝑜𝑥
𝜑𝑜𝑥
)
3 2
⁄
)
𝑉𝑜𝑥
𝑡𝑜𝑥
)
where
𝐴 = (
𝑞3
16𝜋2ℎ𝛷𝑜𝑥
) , 𝐵 = (
4𝜋√2𝑚𝑜𝑥𝛷𝑜𝑥
3
2
3ℎ𝑞
)
2. ARCHITECTURE
2.1. Basic 6T SRAM architecture
The architecture of 6T SRAM cell, shown in Figure 1. It is comprised of two inverter pairs (P0-N0
is one inverter and P1-N1 is another). These inverters are connected in the form of loop to store one bit
information [2]. Outputs are available at ‘Q’ and ‘QB’ nodes, which are complement to each other. Two pass
transistors N2 and N3 are connected at the output of inverters to access the data present on ‘Q’ and ‘QB’.
Source terminal of these transistors are connected to bit-line (BL) and bit-line bar (BLB).
Figure 1. Circuit diagram of 6T SRAM cell
2.2. Operation of SRAM cell
In read mode, word line (WL)=’1’ and bit lines BL and BLB are kept at Vdd. In this mode, the
contents stored in the SRAM cell will be read. To achieve better stability cell ratio ≥1.2 shall be maintained.
During write mode, the contents of SRAM cell will be updated. For example, to write ‘1’ into the SRAM
cell, WL must be assumed as ‘1’ and BL= ‘0’ & BLB= ‘1’. To achieve better write stability, pull-up ratio
must be maintained. In hold mode operation, since WL=0, N2 and N3 are detached from the inverter latch,
and the inverter pair holds the value.
2.3. NC- SRAM cell
The architecture of NC-SRAM proposed in [6] is shown in Figure 2, uses the concept of dynamic
voltage scaling (DVS). For the basic 6T SRAM cell, two NMOS transistors N4 and N5 are added as shown
in figure. N4 and N5 transistors offer different grounds in read/write mode and hold mode. The source of
NMOS transistors is connected to Vs (which is higher potential than VSS) in hold mode and connected to
Vss in active mode. The concept of positive ground voltage reduces the leakage power considerably.
3. ISSN: 2089-4864
Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69
62
Figure 2. Circuit diagram NC SRAM Cell
2.4. 8T SRAM cell
An SRAM cell, with 8 transistors proposed in is shown in Figure 3. The architecture of this cell is
same as that of NC SRAM cell, except header cells [7], [8]. The Header cell uses different VDD levels. The
hold mode power dissipation is reduced significantly in this cell compared to conventional 6T SRAM and N-
controlled SRAM cells. However, this 8T SRAM cell suffers to maintain the data in the hold mode.
Figure 3. Circuit diagram of 8T SRAM Cell
3. PROPOSED 8T SRAM CELL
3.1. Cell Architecture
The proposed cell is slightly modified from that of 8T SRAM cell and is shown in Figure 4. In the
8T SRAM cell, transistor M8 is controlled by WL whereas in the proposed cell, M8 is controlled by WLB.
For hold mode operation, WL=0 and WLB=1 makes the inverter latch to connect to reduced VDD through
M8 transistor. Here M7 is a PMOS transistor is connected to VDD and M8 is a NMOS transistor connected
4. Int J Reconfigurable & Embedded Syst ISSN: 2089-4864
Ultra-low leakage static random access memory design (Didigam Anitha)
63
to reduced voltage, V<VDD. Both M7 and M8 transistors are controlled by WLB. Here, M7, M8 are high
threshold (Vt) cells, to reduce the leakage power. Further, M5 and M6 also high threshold cells, used for
leakage reduction in bit lines.
Figure 4. Proposed 8T SRAM cell circuit
3.2. Operation
3.2.1. Hold mode
In this mode, WL remains low and WLB is high. The transistors M5 and M6 remain turned off. The
inverter pair is connected to the reduced supply voltage (V<Vdd) through M8, as M8 is a NMOS and is
connected to WLB. Thus, the data stored in the inverter latch is maintained during this mode. Huge reduction
in the power dissipation is possible with this circuit as the inverter latch is at reduced supply voltage.
3.2.2. Read mode
Bit lines (BL and BLB) are prechraged to supply voltage (VDD) [9],[10] in this mode. WL is
maintained high during this mode. Read stability is obtained by proper sizing of transistors. The operation of
the SRAM in read mode is as follows. Assume, ‘1’ is being stored at node Q, ‘0’ at node QB, then BLB
discharges to ground through M5 and M2 transistors. The sense amplifiers connected surrounding the SRAM
cells, detect this decrease in the voltage present at BLB and reads it as ‘0’.
3.2.3. Write mode
To update the contents in the SRAM cell, write mode is used. To write ‘0’ at node ‘Q’, WL must be
assumed as ‘1’ and BL= ‘0’ & BLB= ‘1’ shall be taken. Then the values at node ‘Q’ and ‘QB’ will be written
as ‘0’ and ‘1’ respectively.
4. RESULTS AND DISCUSSION
4.1. Power analysis
At 45 nm technology node, leakage current equations are given by (1), (2) and (3). The leakage
analysis can be explained as follows. Say, ‘1’ is stored at node ‘Q’ nd ‘0’ at ‘QB’ and the cell is in standby
mode. In this case, transistors M1, M4 and M5 [11] contributes the subthreshold leakage as described in (1).
As shown in (2) and (3) describes Junction leakage & gate leakage currents. In the proposed cell, V is usually
less voltage than supply voltage (Vdd). Due to this, power dissipation the proposed cell is reduced.
Transistors M5, M6, M7 &M8 are high threshold cells, thus ensures further reduction in leakage currents.
Though 8T SRAM cell [6] is operating on the same principle, it is unable to retain the data in hold
mode. The simulation waveforms are shown in Figure 5. From these waveforms it can be observed that, the
data in the hold mode is retained by providing larger leakage reduction.
5. ISSN: 2089-4864
Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69
64
𝐼𝑠𝑢𝑏=𝐼𝑠𝑢𝑏𝑀5 + 𝐼𝑠𝑢𝑏𝑀4 + 𝐼𝑠𝑢𝑏𝑀1 (1)
𝐼𝐽𝑁 = 𝐼𝐽𝑁𝑑𝑀6 + 𝐼𝐽𝑁𝑠𝑀6 + 𝐼𝐽𝑁𝑑𝑀5 + 𝐼𝐽𝑁𝑑𝑀4 + 𝐼𝐽𝑁𝑑𝑀1 (2)
𝐼𝑔 = 𝐼𝑔𝑑𝑀6 + 𝐼𝑔𝑠𝑀6 + 𝐼𝑔𝑑𝑀5 + 𝐼𝑔𝑑𝑀1 + 𝐼𝑔𝑑𝑀2 + 𝐼𝑔𝑠𝑀2 + 𝐼𝑔𝑑𝑀3 + 𝐼𝑔𝑠𝑀3 + 𝐼𝑔𝑑𝑀2 (3)
And total leakage is 𝐼𝑙𝑒𝑎𝑘 = 𝐼𝑠𝑢𝑏 + 𝐼𝐽𝑁 + 𝐼𝑔
Hold mode power dissipation of 6T SRAM and proposed SRAM cells is shown in Figures 6 and 7
tabulated in Table 1.
Figure 5. Simulation waveforms of the proposed SRAM cell
Figure 6. Hold mode Power dissipation of basic SRAM cell
Time (mS)
6. Int J Reconfigurable & Embedded Syst ISSN: 2089-4864
Ultra-low leakage static random access memory design (Didigam Anitha)
65
Figure 7. Hold mode power dissipaion proposed SRAM cell
Table 1. Power dissipation comparison
Parameter 6T SRAM 8T SRAM Proposed SRAM
Static power (W) 4.2μ 6.22n 795p
Total power (W) 4.5 μ 3.4 μ 243n
4.2. Stability analysis
SRAM cell stability is described by static noise margin (SNM). This performance metric parameter
of the SRAM [12]-[14] is classified into 3 types: i) read SNM: it is the SNM in read mode; ii) hold SNM: it is
the SNM in hold mode; iii) write SNM: SNM in write mode.
To measure the SNM in butterfly method, inverter pair transfer characteristics are plotted, and the
best fit square is drawn between these characteristic curves. In this method, two different circuits are required
to obtain the read SNM and write SNM. In N-curve method [15]-[18], SNM in both read and write modes
can be obtained at a time. Butterfly curves of basic 6T SRAM cell and proposed SRAM cell are depicted in
Figures 8 and 9 respectively. N-curves of both cells are shown in Figures 10 and 11. Performance parameters
obtained in both methods are tabulated in Tables 2 and 3.
Figure 8. Read mode SNM of basic SRAM cell Figure 9. Read SNM of proposed SRAM cell
Time (mS)
7. ISSN: 2089-4864
Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69
66
Figure 10. N-curve of 6T SRAM cell Figure 11. N-curve of proposed SRAM cell
Table 2. SNM from butterfly method
Parameter 6T SRAM Proposed SRAM
SNM(V) 0.2 0.21
SNM @ process corners(V) 0.22 0.21
Table 3. Stability parameters from N-Curve method
Parameter Basic 6T SRAM Proposed SRAM
SVNM (mV) 258 293
SINM (μA) 11 23
WTV (mV) 420 429
WTI(μA) -6.1 -15
4.3. Statistical analysis
Statistical analysis is the advanced analysis in cadence and is used to measure parametric variations
and circuit robustness [19]-[22]. By initiating 10% variations in threshold voltage (Vth) along with 10%
variations in oxide thickness (Tox) statistical analysis is performed for 100 runs. These results are given in
Figures 12 and 13.
Figure 12. Histogram of hold mode power dissipation of basic 6T cell
8. Int J Reconfigurable & Embedded Syst ISSN: 2089-4864
Ultra-low leakage static random access memory design (Didigam Anitha)
67
Figure 13. Histogram of hold mode power dissipation of proposed SRAM cell
4.4. Area analysis
The layouts [23]-[25] for the basic 6T SRAM cell and our proposed SRAM cell are drawn and
shown in Figure 14 and Figure 15. The key observations are, proposed SRAM takes 13% more area than 6T
SRAM as it consists of extra two transistors at power supply. Comparison of all performance parameters of
proposed SRAM cell with other similar existing SRAM cells is given in Table 4.
Figure 14. Layout of basic 6T SRAM cell
9. ISSN: 2089-4864
Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69
68
Figure 15. Layout of proposed SRAM cell
Table 4. Comparison of performance parameters of SRAM
Parameters 6T SRAM NC-SRAM 8T SRAM Proposed SRAM
Static power (W) 4.23 μ 262 n 3.26 μ 6.22 n
Total power (W) 4.57 μ 2.3μ 3.49 μ 3.40 μ
Static Noise Margin (V) 0.21 0.15 0.15 0.21
Delay (Sec.) 170p 213p 183p 144p
Static power delay product (W-Sec) 8.143×10-16
55860×10-21
596.6×10-16
895.7×10-21
Total Power delay product (W-Sec) 8.797×10-16
4.89×10-16
6.39×10-16
4.89×10-16
5. CONCLUSION
Design of SRAM cell with ultra-low leakage power is presented in this paper. The simulations are
carried out using GPDK 45 nm CMOS technology transistors in cadence. This SRAM with 8 Transistors
achieves very less leakage power compared to other existing techniques. Not only leakage power, total power
dissipation of the proposed SRAM is also reduced significantly. Stability analysis is performed and the SNM
is calculated by using both N-curve and butterfly methods. Proposed cell is more suitable for hold mode
applications as its power dissipation in hold mode is only 790 pW. But the drawback with this proposed
SRAM is, it shows 13% more area overhead than the 6T SRAM cell.
REFERENCES
[1] K. Roy and S. Prasad, Low power CMOS VLSI circuit design. Wiley, 2000.
[2] R. S. Whiskin, “Digital integrated circuits,” Electronics Testing & Measurement, London: Macmillan Education, 1972, pp. 73–80.
[3] G. Razavipour, A. Afzali-Kusha, and M. Pedram, “Design and analysis of two low-power SRAM cell structures,” IEEE
Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 10, pp. 1551–1555, 2009, doi:
10.1109/TVLSI.2008.2004590.
[4] C. Piguet, Low power electronic design. CRC Press, 2004.
[5] K. Vanama, R. Gunnuthula, and G. Prasad, “Design of low power stable SRAM cell,” in 2014 International Conference on
Circuits, Power and Computing Technologies, ICCPCT 2014, Mar. 2014, pp. 1263–1267, doi: 10.1109/ICCPCT.2014.7054980.
[6] P. Elakkumanan, A. Narasimhan, and R. Sridhar, “NC-SRAM - A low-leakage memory circuit for ultra deep submicron designs,”
in Proceedings - IEEE International SOC Conference, SOCC 2003, 2003, pp. 3–6, doi: 10.1109/SOC.2003.1241450.
[7] D. Anitha, K. Manjunathachari, P. Sathish Kumar, and G. Prasad, “Design of low leakage process tolerant SRAM cell,” Analog
Integrated Circuits and Signal Processing, vol. 93, no. 3, pp. 531–538, Dec. 2017, doi: 10.1007/s10470-017-1061-9.
[8] G. Prasad and A. Anand, “Statistical analysis of low-power SRAM cell structure,” Analog Integrated Circuits and Signal
Processing, vol. 82, no. 1, pp. 349–358, Jan. 2015, doi: 10.1007/s10470-014-0463-1.
[9] Z. Liu and V. Kursun, “Characterization of a novel nine-transistor SRAM Cell,” IEEE Transactions on Very Large Scale
Integration (VLSI) Systems, vol. 16, no. 4, pp. 488–492, Apr. 2008, doi: 10.1109/TVLSI.2007.915499.
[10] A. Islam and M. Hasan, “Leakage characterization of 10T SRAM Cell,” IEEE Transactions on Electron Devices, vol. 59, no. 3,
pp. 631–638, Mar. 2012, doi: 10.1109/TED.2011.2181387.
[11] E. Grossar, M. Stucchi, K. Maex, and W. Dehaene, “Read stability and write-ability analysis of SRAM cells for nanometer
technologies,” IEEE Journal of Solid-State Circuits, vol. 41, no. 11, pp. 2577–2588, 2006, doi: 10.1109/JSSC.2006.883344.
10. Int J Reconfigurable & Embedded Syst ISSN: 2089-4864
Ultra-low leakage static random access memory design (Didigam Anitha)
69
[12] K. Nii et al., “A 45-nm Bulk CMOS embedded SRAM with improved immunity against process and temperature variations,”
IEEE Journal of Solid-State Circuits, vol. 43, no. 1, pp. 180–191, Jan. 2008, doi: 10.1109/JSSC.2007.907998.
[13] D. Mukherjee, H. K. Mondal, and B. V. R. Reddy, “Static noise margin analysis of SRAM cell for high speed application,” IJCSI
International Journal of Computer Science Issues, vol. 7, no. 5, pp. 175–180, 2010, [Online]. Available: www.IJCSI.org.
[14] E. Seevinck, F. J. List, and J. Lohstroh, “Static-noise margin analysis of MOS SRAM cells,” IEEE Journal of Solid-State Circuits,
vol. 22, no. 5, pp. 748–754, Oct. 1987, doi: 10.1109/JSSC.1987.1052809.
[15] M. Samson and M. B. Srinivas, “Analyzing N-Curve metrics for sub-threshold 65nm CMOS SRAM,” in 2008 8th IEEE
Conference on Nanotechnology, Aug. 2008, pp. 25–28, doi: 10.1109/NANO.2008.16.
[16] A Choudary et al., ” Ultra low power SRAM cell for high-speed applications”in International Conference on Reliability, Infocom
Technologies and Optimization, September 2020, pp. 175-185, doi: 10.1109/ICRITO48877.2020.9197869.
[17] C. Wann et al., “SRAM cell design for stability methodology,” in IEEE VLSI-TSA International Symposium on VLSI Technology,
2005. (VLSI-TSA-Tech)., 2005, pp. 21–22, doi: 10.1109/VTSA.2005.1497065.
[18] D. Anitha, K. M. Chari, and P. S. Kumar, “N-Curve analysis of low power SRAM Cell,” in 2018 Second International
Conference on Inventive Communication and Computational Technologies (ICICCT), Apr. 2018, pp. 1645–1650, doi:
10.1109/ICICCT.2018.8473215.
[19] S. Lin, Y.-B. Kim, and F. Lombardi, “Design and analysis of a 32 nm PVT tolerant CMOS SRAM cell for low leakage and high
stability,” Integration, vol. 43, no. 2, pp. 176–187, Apr. 2010, doi: 10.1016/j.vlsi.2010.01.003.
[20] X. Wang, C. Lu, and Z. Mao, “Charge recycling 8T SRAM design for low voltage robust operation,” AEU - International Journal
of Electronics and Communications, vol. 70, no. 1, pp. 25–32, Jan. 2016, doi: 10.1016/j.aeue.2015.09.014.
[21] G. Prasad, “Novel low power 10T SRAM cell on 90nm CMOS,” in 2016 2nd International Conference on Advances in Electrical,
Electronics, Information, Communication and Bio-Informatics (AEEICB), Feb. 2016, pp. 109–114, doi:
10.1109/AEEICB.2016.7538408.
[22] G. Prasad and R. Kusuma, “Statistical (M-C) and static noise margin analysis of the SRAM cells,” in 2013 Students Conference
on Engineering and Systems (SCES), Apr. 2013, pp. 1–5, doi: 10.1109/SCES.2013.6547557.
[23] N. R. Kumar, “A review of low-power VLSI technology developments BT-Innovations in electronics and communication
engineering,” Innovations in Electronics and Communication Engineering, vol. 7, no. Chapter 2, pp. 17–27, 2017, doi:
10.1007/978-981-10-3812-9_2.
[24] C. A. Kumar, B. K. Madhavi, and K. Lalkishore, “Performance analysis of low power 6T SRAM cell in 180nm and 90nm,” in
2016 2nd International Conference on Advances in Electrical, Electronics, Information, Communication and Bio-Informatics
(AEEICB), Feb. 2016, pp. 351–357, doi: 10.1109/AEEICB.2016.7538307.
[25] G. Prasad, B. C. Mandi, and M. Jain, “Design and analysis of area and power optimised SRAM cell for high-speed processor,” in
2020 First International Conference on Power, Control and Computing Technologies (ICPC2T), Jan. 2020, pp. 363–367, doi:
10.1109/ICPC2T48082.2020.9071489.
BIOGRAPHIES OF AUTHORS
Didigam Anitha received her B.Tech degree in Electronics and Communication
Engineering and her M.Tech in VLSI and Ph.D. in Low power VLSI at JNTU Hyderabad. She
is working as Asst.prof. in GITAM University, Hyderabad. Her research includes VLSI, Mixed
signal design and low power VLSI. She can be contacted at email: adid@gitam.edu.
Mohd. Masood Ahmad received his B. E degree in Electronics and
Communication Engineering and M. Tech in VLSI and Ph.D. in Low power VLSI at JNTU
Hyderabad. He is working as Asst. prof. in GITAM University, Hyderabad. His research
includes VLSI, Digital design and Low power VLSI. He can be contacted at email:
mmahamma@gitam.edu.