SlideShare a Scribd company logo
International Journal of Reconfigurable and Embedded Systems (IJRES)
Vol. 12, No. 1, March 2023, pp. 60~69
ISSN: 2089-4864, DOI: 10.11591/ijres.v12.i1.pp60-69  60
Journal homepage: http://ijres.iaescore.com
Ultra-low leakage static random access memory design
Didigam Anitha, Mohd. Masood Ahmad
Department of Electronics and Communications, Faculty of Engineering, GITAM University, Telangana, India
Article Info ABSTRACT
Article history:
Received Jul 8, 2022
Revised Aug 7, 2022
Accepted Aug 26, 2022
An ultra-low leakage static random-access memory (SRAM) cell structure
with 8 transistors is proposed in this paper. Compared to the 6T SRAM and
other existing 8T SRAM cells, leakage power of the proposed cell in hold
mode reduced significantly. The stability parameters of the proposed cell are
calculated using butterfly method and also N-curve method. Proposed
SRAM achieves better write margin with slightly less read margin than 6T
SRAM. Proposed technique consumes 790 PW of power in hold mode,
which is very less compared to other existing techniques. Therefore, the
proposed cell is appropriate for hold mode applications. The simulations are
carried out by using Cadence (Virtuoso Schematic and layout editor) tools
with GPDK45-nm technology.
Keywords:
8T-SRAM
Hold power
NC-SRAM
N-curve
SRAM This is an open access article under the CC BY-SA license.
Corresponding Author:
Didigam Anitha
Department of Electronics and Communications, Faculty of Engineering, GITAM University
Hyderabad, Telangana, India
Email: adid@gitam.edu
1. INTRODUCTION
Portable electronic gadgets lead to the scaling of devices and more battery life. To decrease the dynamic
power dissipation, the supply voltage (VDD) is reduced, which makes the threshold voltage (Vth) is also to
decrease. However, sub-threshold power increases due to decreased threshold voltage [1], as it is exponentially
dependent on the Vth. Reduction of oxide thickness (tox) causes gate tunneling current, hot carrier injection and
results in increased gate leakage current. In modern IC’s leakage power is the major concern, as it is around 40%
of total power consumption.
SRAM cell is the one, which consists of cross coupled inverter latch [2], used to store one-bit data. It
retains this data until the supply voltage is ‘ON’. No continuous refreshing mechanism is required like dynamic
RAM (DRAM). SRAM finds applications in cache memory as it provides high-speed operation. These SRAM
arrays cause the main sources of leakage currents as many such cells are present in on-chip memory design [3].
A novel design method is discussed in this paper to reduce the leakage power of SRAM cell by adding
two extra transistors at the power supply. The proposed circuit also achieves good stability parameters along with
the static power reduction. This work is mainly focused on power dissipation in hold mode. Because systems like
CC cameras will be kept in standby mode for long time than active mode. Hence reduction of standby power
without the stored data degradation is a major concern. The leakage power of a Metal oxide silicon field effect
transistor is mainly contributed by sub-threshold leakage, junction leakage and gate leakage currents [4].
When the gate voltage (Vgs) of the Metal oxide silicon field effect transistor (MOSFET) is below
threshold voltage (Vth), sub-threshold leakage occurs. Junction leakage is the leakage due to reverse biased PN
junction current of the MOSFET, occurs due to minority carrier drift in the depletion region. It is dependent on
the doping concentration and junction area.
Int J Reconfigurable & Embedded Syst ISSN: 2089-4864 
Ultra-low leakage static random access memory design (Didigam Anitha)
61
𝐼𝑠𝑢𝑏𝑡ℎ𝑟𝑒𝑠ℎ𝑜𝑙𝑑 = 𝐼0𝑒
𝑉𝑔𝑠−𝑉𝑡ℎ
𝜂𝑉𝑇 (1 − 𝑒
−𝑉𝑑𝑠
𝑉𝑇 )
where 𝐼0 = 𝜇0𝐶𝑜𝑥
𝑊
𝐿
(𝑚 − 1)(𝑉𝑇)2
Gate leakage current is described by:
𝐼𝑔𝑎𝑡𝑒 = 𝑊𝐿𝐴 (
𝑉𝑜𝑥
𝑡𝑜𝑥
)
2
exp (
−𝐵(1−(1−
𝑉𝑜𝑥
𝜑𝑜𝑥
)
3 2
⁄
)
𝑉𝑜𝑥
𝑡𝑜𝑥
)
where
𝐴 = (
𝑞3
16𝜋2ℎ𝛷𝑜𝑥
) , 𝐵 = (
4𝜋√2𝑚𝑜𝑥𝛷𝑜𝑥
3
2
3ℎ𝑞
)
2. ARCHITECTURE
2.1. Basic 6T SRAM architecture
The architecture of 6T SRAM cell, shown in Figure 1. It is comprised of two inverter pairs (P0-N0
is one inverter and P1-N1 is another). These inverters are connected in the form of loop to store one bit
information [2]. Outputs are available at ‘Q’ and ‘QB’ nodes, which are complement to each other. Two pass
transistors N2 and N3 are connected at the output of inverters to access the data present on ‘Q’ and ‘QB’.
Source terminal of these transistors are connected to bit-line (BL) and bit-line bar (BLB).
Figure 1. Circuit diagram of 6T SRAM cell
2.2. Operation of SRAM cell
In read mode, word line (WL)=’1’ and bit lines BL and BLB are kept at Vdd. In this mode, the
contents stored in the SRAM cell will be read. To achieve better stability cell ratio ≥1.2 shall be maintained.
During write mode, the contents of SRAM cell will be updated. For example, to write ‘1’ into the SRAM
cell, WL must be assumed as ‘1’ and BL= ‘0’ & BLB= ‘1’. To achieve better write stability, pull-up ratio
must be maintained. In hold mode operation, since WL=0, N2 and N3 are detached from the inverter latch,
and the inverter pair holds the value.
2.3. NC- SRAM cell
The architecture of NC-SRAM proposed in [6] is shown in Figure 2, uses the concept of dynamic
voltage scaling (DVS). For the basic 6T SRAM cell, two NMOS transistors N4 and N5 are added as shown
in figure. N4 and N5 transistors offer different grounds in read/write mode and hold mode. The source of
NMOS transistors is connected to Vs (which is higher potential than VSS) in hold mode and connected to
Vss in active mode. The concept of positive ground voltage reduces the leakage power considerably.
 ISSN: 2089-4864
Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69
62
Figure 2. Circuit diagram NC SRAM Cell
2.4. 8T SRAM cell
An SRAM cell, with 8 transistors proposed in is shown in Figure 3. The architecture of this cell is
same as that of NC SRAM cell, except header cells [7], [8]. The Header cell uses different VDD levels. The
hold mode power dissipation is reduced significantly in this cell compared to conventional 6T SRAM and N-
controlled SRAM cells. However, this 8T SRAM cell suffers to maintain the data in the hold mode.
Figure 3. Circuit diagram of 8T SRAM Cell
3. PROPOSED 8T SRAM CELL
3.1. Cell Architecture
The proposed cell is slightly modified from that of 8T SRAM cell and is shown in Figure 4. In the
8T SRAM cell, transistor M8 is controlled by WL whereas in the proposed cell, M8 is controlled by WLB.
For hold mode operation, WL=0 and WLB=1 makes the inverter latch to connect to reduced VDD through
M8 transistor. Here M7 is a PMOS transistor is connected to VDD and M8 is a NMOS transistor connected
Int J Reconfigurable & Embedded Syst ISSN: 2089-4864 
Ultra-low leakage static random access memory design (Didigam Anitha)
63
to reduced voltage, V<VDD. Both M7 and M8 transistors are controlled by WLB. Here, M7, M8 are high
threshold (Vt) cells, to reduce the leakage power. Further, M5 and M6 also high threshold cells, used for
leakage reduction in bit lines.
Figure 4. Proposed 8T SRAM cell circuit
3.2. Operation
3.2.1. Hold mode
In this mode, WL remains low and WLB is high. The transistors M5 and M6 remain turned off. The
inverter pair is connected to the reduced supply voltage (V<Vdd) through M8, as M8 is a NMOS and is
connected to WLB. Thus, the data stored in the inverter latch is maintained during this mode. Huge reduction
in the power dissipation is possible with this circuit as the inverter latch is at reduced supply voltage.
3.2.2. Read mode
Bit lines (BL and BLB) are prechraged to supply voltage (VDD) [9],[10] in this mode. WL is
maintained high during this mode. Read stability is obtained by proper sizing of transistors. The operation of
the SRAM in read mode is as follows. Assume, ‘1’ is being stored at node Q, ‘0’ at node QB, then BLB
discharges to ground through M5 and M2 transistors. The sense amplifiers connected surrounding the SRAM
cells, detect this decrease in the voltage present at BLB and reads it as ‘0’.
3.2.3. Write mode
To update the contents in the SRAM cell, write mode is used. To write ‘0’ at node ‘Q’, WL must be
assumed as ‘1’ and BL= ‘0’ & BLB= ‘1’ shall be taken. Then the values at node ‘Q’ and ‘QB’ will be written
as ‘0’ and ‘1’ respectively.
4. RESULTS AND DISCUSSION
4.1. Power analysis
At 45 nm technology node, leakage current equations are given by (1), (2) and (3). The leakage
analysis can be explained as follows. Say, ‘1’ is stored at node ‘Q’ nd ‘0’ at ‘QB’ and the cell is in standby
mode. In this case, transistors M1, M4 and M5 [11] contributes the subthreshold leakage as described in (1).
As shown in (2) and (3) describes Junction leakage & gate leakage currents. In the proposed cell, V is usually
less voltage than supply voltage (Vdd). Due to this, power dissipation the proposed cell is reduced.
Transistors M5, M6, M7 &M8 are high threshold cells, thus ensures further reduction in leakage currents.
Though 8T SRAM cell [6] is operating on the same principle, it is unable to retain the data in hold
mode. The simulation waveforms are shown in Figure 5. From these waveforms it can be observed that, the
data in the hold mode is retained by providing larger leakage reduction.
 ISSN: 2089-4864
Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69
64
𝐼𝑠𝑢𝑏=𝐼𝑠𝑢𝑏𝑀5 + 𝐼𝑠𝑢𝑏𝑀4 + 𝐼𝑠𝑢𝑏𝑀1 (1)
𝐼𝐽𝑁 = 𝐼𝐽𝑁𝑑𝑀6 + 𝐼𝐽𝑁𝑠𝑀6 + 𝐼𝐽𝑁𝑑𝑀5 + 𝐼𝐽𝑁𝑑𝑀4 + 𝐼𝐽𝑁𝑑𝑀1 (2)
𝐼𝑔 = 𝐼𝑔𝑑𝑀6 + 𝐼𝑔𝑠𝑀6 + 𝐼𝑔𝑑𝑀5 + 𝐼𝑔𝑑𝑀1 + 𝐼𝑔𝑑𝑀2 + 𝐼𝑔𝑠𝑀2 + 𝐼𝑔𝑑𝑀3 + 𝐼𝑔𝑠𝑀3 + 𝐼𝑔𝑑𝑀2 (3)
And total leakage is 𝐼𝑙𝑒𝑎𝑘 = 𝐼𝑠𝑢𝑏 + 𝐼𝐽𝑁 + 𝐼𝑔
Hold mode power dissipation of 6T SRAM and proposed SRAM cells is shown in Figures 6 and 7
tabulated in Table 1.
Figure 5. Simulation waveforms of the proposed SRAM cell
Figure 6. Hold mode Power dissipation of basic SRAM cell
Time (mS)
Int J Reconfigurable & Embedded Syst ISSN: 2089-4864 
Ultra-low leakage static random access memory design (Didigam Anitha)
65
Figure 7. Hold mode power dissipaion proposed SRAM cell
Table 1. Power dissipation comparison
Parameter 6T SRAM 8T SRAM Proposed SRAM
Static power (W) 4.2μ 6.22n 795p
Total power (W) 4.5 μ 3.4 μ 243n
4.2. Stability analysis
SRAM cell stability is described by static noise margin (SNM). This performance metric parameter
of the SRAM [12]-[14] is classified into 3 types: i) read SNM: it is the SNM in read mode; ii) hold SNM: it is
the SNM in hold mode; iii) write SNM: SNM in write mode.
To measure the SNM in butterfly method, inverter pair transfer characteristics are plotted, and the
best fit square is drawn between these characteristic curves. In this method, two different circuits are required
to obtain the read SNM and write SNM. In N-curve method [15]-[18], SNM in both read and write modes
can be obtained at a time. Butterfly curves of basic 6T SRAM cell and proposed SRAM cell are depicted in
Figures 8 and 9 respectively. N-curves of both cells are shown in Figures 10 and 11. Performance parameters
obtained in both methods are tabulated in Tables 2 and 3.
Figure 8. Read mode SNM of basic SRAM cell Figure 9. Read SNM of proposed SRAM cell
Time (mS)
 ISSN: 2089-4864
Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69
66
Figure 10. N-curve of 6T SRAM cell Figure 11. N-curve of proposed SRAM cell
Table 2. SNM from butterfly method
Parameter 6T SRAM Proposed SRAM
SNM(V) 0.2 0.21
SNM @ process corners(V) 0.22 0.21
Table 3. Stability parameters from N-Curve method
Parameter Basic 6T SRAM Proposed SRAM
SVNM (mV) 258 293
SINM (μA) 11 23
WTV (mV) 420 429
WTI(μA) -6.1 -15
4.3. Statistical analysis
Statistical analysis is the advanced analysis in cadence and is used to measure parametric variations
and circuit robustness [19]-[22]. By initiating 10% variations in threshold voltage (Vth) along with 10%
variations in oxide thickness (Tox) statistical analysis is performed for 100 runs. These results are given in
Figures 12 and 13.
Figure 12. Histogram of hold mode power dissipation of basic 6T cell
Int J Reconfigurable & Embedded Syst ISSN: 2089-4864 
Ultra-low leakage static random access memory design (Didigam Anitha)
67
Figure 13. Histogram of hold mode power dissipation of proposed SRAM cell
4.4. Area analysis
The layouts [23]-[25] for the basic 6T SRAM cell and our proposed SRAM cell are drawn and
shown in Figure 14 and Figure 15. The key observations are, proposed SRAM takes 13% more area than 6T
SRAM as it consists of extra two transistors at power supply. Comparison of all performance parameters of
proposed SRAM cell with other similar existing SRAM cells is given in Table 4.
Figure 14. Layout of basic 6T SRAM cell
 ISSN: 2089-4864
Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69
68
Figure 15. Layout of proposed SRAM cell
Table 4. Comparison of performance parameters of SRAM
Parameters 6T SRAM NC-SRAM 8T SRAM Proposed SRAM
Static power (W) 4.23 μ 262 n 3.26 μ 6.22 n
Total power (W) 4.57 μ 2.3μ 3.49 μ 3.40 μ
Static Noise Margin (V) 0.21 0.15 0.15 0.21
Delay (Sec.) 170p 213p 183p 144p
Static power delay product (W-Sec) 8.143×10-16
55860×10-21
596.6×10-16
895.7×10-21
Total Power delay product (W-Sec) 8.797×10-16
4.89×10-16
6.39×10-16
4.89×10-16
5. CONCLUSION
Design of SRAM cell with ultra-low leakage power is presented in this paper. The simulations are
carried out using GPDK 45 nm CMOS technology transistors in cadence. This SRAM with 8 Transistors
achieves very less leakage power compared to other existing techniques. Not only leakage power, total power
dissipation of the proposed SRAM is also reduced significantly. Stability analysis is performed and the SNM
is calculated by using both N-curve and butterfly methods. Proposed cell is more suitable for hold mode
applications as its power dissipation in hold mode is only 790 pW. But the drawback with this proposed
SRAM is, it shows 13% more area overhead than the 6T SRAM cell.
REFERENCES
[1] K. Roy and S. Prasad, Low power CMOS VLSI circuit design. Wiley, 2000.
[2] R. S. Whiskin, “Digital integrated circuits,” Electronics Testing & Measurement, London: Macmillan Education, 1972, pp. 73–80.
[3] G. Razavipour, A. Afzali-Kusha, and M. Pedram, “Design and analysis of two low-power SRAM cell structures,” IEEE
Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 10, pp. 1551–1555, 2009, doi:
10.1109/TVLSI.2008.2004590.
[4] C. Piguet, Low power electronic design. CRC Press, 2004.
[5] K. Vanama, R. Gunnuthula, and G. Prasad, “Design of low power stable SRAM cell,” in 2014 International Conference on
Circuits, Power and Computing Technologies, ICCPCT 2014, Mar. 2014, pp. 1263–1267, doi: 10.1109/ICCPCT.2014.7054980.
[6] P. Elakkumanan, A. Narasimhan, and R. Sridhar, “NC-SRAM - A low-leakage memory circuit for ultra deep submicron designs,”
in Proceedings - IEEE International SOC Conference, SOCC 2003, 2003, pp. 3–6, doi: 10.1109/SOC.2003.1241450.
[7] D. Anitha, K. Manjunathachari, P. Sathish Kumar, and G. Prasad, “Design of low leakage process tolerant SRAM cell,” Analog
Integrated Circuits and Signal Processing, vol. 93, no. 3, pp. 531–538, Dec. 2017, doi: 10.1007/s10470-017-1061-9.
[8] G. Prasad and A. Anand, “Statistical analysis of low-power SRAM cell structure,” Analog Integrated Circuits and Signal
Processing, vol. 82, no. 1, pp. 349–358, Jan. 2015, doi: 10.1007/s10470-014-0463-1.
[9] Z. Liu and V. Kursun, “Characterization of a novel nine-transistor SRAM Cell,” IEEE Transactions on Very Large Scale
Integration (VLSI) Systems, vol. 16, no. 4, pp. 488–492, Apr. 2008, doi: 10.1109/TVLSI.2007.915499.
[10] A. Islam and M. Hasan, “Leakage characterization of 10T SRAM Cell,” IEEE Transactions on Electron Devices, vol. 59, no. 3,
pp. 631–638, Mar. 2012, doi: 10.1109/TED.2011.2181387.
[11] E. Grossar, M. Stucchi, K. Maex, and W. Dehaene, “Read stability and write-ability analysis of SRAM cells for nanometer
technologies,” IEEE Journal of Solid-State Circuits, vol. 41, no. 11, pp. 2577–2588, 2006, doi: 10.1109/JSSC.2006.883344.
Int J Reconfigurable & Embedded Syst ISSN: 2089-4864 
Ultra-low leakage static random access memory design (Didigam Anitha)
69
[12] K. Nii et al., “A 45-nm Bulk CMOS embedded SRAM with improved immunity against process and temperature variations,”
IEEE Journal of Solid-State Circuits, vol. 43, no. 1, pp. 180–191, Jan. 2008, doi: 10.1109/JSSC.2007.907998.
[13] D. Mukherjee, H. K. Mondal, and B. V. R. Reddy, “Static noise margin analysis of SRAM cell for high speed application,” IJCSI
International Journal of Computer Science Issues, vol. 7, no. 5, pp. 175–180, 2010, [Online]. Available: www.IJCSI.org.
[14] E. Seevinck, F. J. List, and J. Lohstroh, “Static-noise margin analysis of MOS SRAM cells,” IEEE Journal of Solid-State Circuits,
vol. 22, no. 5, pp. 748–754, Oct. 1987, doi: 10.1109/JSSC.1987.1052809.
[15] M. Samson and M. B. Srinivas, “Analyzing N-Curve metrics for sub-threshold 65nm CMOS SRAM,” in 2008 8th IEEE
Conference on Nanotechnology, Aug. 2008, pp. 25–28, doi: 10.1109/NANO.2008.16.
[16] A Choudary et al., ” Ultra low power SRAM cell for high-speed applications”in International Conference on Reliability, Infocom
Technologies and Optimization, September 2020, pp. 175-185, doi: 10.1109/ICRITO48877.2020.9197869.
[17] C. Wann et al., “SRAM cell design for stability methodology,” in IEEE VLSI-TSA International Symposium on VLSI Technology,
2005. (VLSI-TSA-Tech)., 2005, pp. 21–22, doi: 10.1109/VTSA.2005.1497065.
[18] D. Anitha, K. M. Chari, and P. S. Kumar, “N-Curve analysis of low power SRAM Cell,” in 2018 Second International
Conference on Inventive Communication and Computational Technologies (ICICCT), Apr. 2018, pp. 1645–1650, doi:
10.1109/ICICCT.2018.8473215.
[19] S. Lin, Y.-B. Kim, and F. Lombardi, “Design and analysis of a 32 nm PVT tolerant CMOS SRAM cell for low leakage and high
stability,” Integration, vol. 43, no. 2, pp. 176–187, Apr. 2010, doi: 10.1016/j.vlsi.2010.01.003.
[20] X. Wang, C. Lu, and Z. Mao, “Charge recycling 8T SRAM design for low voltage robust operation,” AEU - International Journal
of Electronics and Communications, vol. 70, no. 1, pp. 25–32, Jan. 2016, doi: 10.1016/j.aeue.2015.09.014.
[21] G. Prasad, “Novel low power 10T SRAM cell on 90nm CMOS,” in 2016 2nd International Conference on Advances in Electrical,
Electronics, Information, Communication and Bio-Informatics (AEEICB), Feb. 2016, pp. 109–114, doi:
10.1109/AEEICB.2016.7538408.
[22] G. Prasad and R. Kusuma, “Statistical (M-C) and static noise margin analysis of the SRAM cells,” in 2013 Students Conference
on Engineering and Systems (SCES), Apr. 2013, pp. 1–5, doi: 10.1109/SCES.2013.6547557.
[23] N. R. Kumar, “A review of low-power VLSI technology developments BT-Innovations in electronics and communication
engineering,” Innovations in Electronics and Communication Engineering, vol. 7, no. Chapter 2, pp. 17–27, 2017, doi:
10.1007/978-981-10-3812-9_2.
[24] C. A. Kumar, B. K. Madhavi, and K. Lalkishore, “Performance analysis of low power 6T SRAM cell in 180nm and 90nm,” in
2016 2nd International Conference on Advances in Electrical, Electronics, Information, Communication and Bio-Informatics
(AEEICB), Feb. 2016, pp. 351–357, doi: 10.1109/AEEICB.2016.7538307.
[25] G. Prasad, B. C. Mandi, and M. Jain, “Design and analysis of area and power optimised SRAM cell for high-speed processor,” in
2020 First International Conference on Power, Control and Computing Technologies (ICPC2T), Jan. 2020, pp. 363–367, doi:
10.1109/ICPC2T48082.2020.9071489.
BIOGRAPHIES OF AUTHORS
Didigam Anitha received her B.Tech degree in Electronics and Communication
Engineering and her M.Tech in VLSI and Ph.D. in Low power VLSI at JNTU Hyderabad. She
is working as Asst.prof. in GITAM University, Hyderabad. Her research includes VLSI, Mixed
signal design and low power VLSI. She can be contacted at email: adid@gitam.edu.
Mohd. Masood Ahmad received his B. E degree in Electronics and
Communication Engineering and M. Tech in VLSI and Ph.D. in Low power VLSI at JNTU
Hyderabad. He is working as Asst. prof. in GITAM University, Hyderabad. His research
includes VLSI, Digital design and Low power VLSI. He can be contacted at email:
mmahamma@gitam.edu.

More Related Content

Similar to Ultra-low leakage static random access memory design

Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
iosrjce
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
idescitation
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
IJERA Editor
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
Ieee Xpert
 
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
jedt_journal
 
B0540714
B0540714B0540714
B0540714
IOSR Journals
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
IOSRJVSP
 
Cx33596601
Cx33596601Cx33596601
Cx33596601
IJERA Editor
 
Cx33596601
Cx33596601Cx33596601
Cx33596601
IJERA Editor
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
VLSICS Design
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
IJERA Editor
 
Af34193199
Af34193199Af34193199
Af34193199
IJERA Editor
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET Journal
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
VLSICS Design
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
ijsrd.com
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
VLSICS Design
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
iosrjce
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
IJERA Editor
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
RoobanS4
 

Similar to Ultra-low leakage static random access memory design (20)

Implementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell DesignImplementation of High Reliable 6T SRAM Cell Design
Implementation of High Reliable 6T SRAM Cell Design
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
 
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
A SINGLE-ENDED AND BIT-INTERLEAVING 7T SRAM CELL IN SUB-THRESHOLD REGION WITH...
 
B0540714
B0540714B0540714
B0540714
 
Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...Design & Implementation of Subthreshold Memory Cell design based on the prima...
Design & Implementation of Subthreshold Memory Cell design based on the prima...
 
Cx33596601
Cx33596601Cx33596601
Cx33596601
 
Cx33596601
Cx33596601Cx33596601
Cx33596601
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
 
Af34193199
Af34193199Af34193199
Af34193199
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBYSINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
SINGLE-PORT FIVE-TRANSISTOR SRAM CELL WITH REDUCED LEAKAGE CURRENT IN STANDBY
 
Design and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM CircuitsDesign and Simulation Low power SRAM Circuits
Design and Simulation Low power SRAM Circuits
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
“Power and Temperature Analysis of 12T CMOS SRAM Designed With Short Channel ...
 
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram CellA Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
A Comparitive Analysis of Improved 6t Sram Cell With Different Sram Cell
 
Tsp cmc 46872
Tsp cmc 46872Tsp cmc 46872
Tsp cmc 46872
 

More from International Journal of Reconfigurable and Embedded Systems

Telugu letters dataset and parallel deep convolutional neural network with a...
Telugu letters dataset and parallel deep convolutional neural  network with a...Telugu letters dataset and parallel deep convolutional neural  network with a...
Telugu letters dataset and parallel deep convolutional neural network with a...
International Journal of Reconfigurable and Embedded Systems
 
Task level energy and performance assurance workload scheduling model in dis...
Task level energy and performance assurance workload  scheduling model in dis...Task level energy and performance assurance workload  scheduling model in dis...
Task level energy and performance assurance workload scheduling model in dis...
International Journal of Reconfigurable and Embedded Systems
 
Affective analysis in machine learning using AMIGOS with Gaussian expectatio...
Affective analysis in machine learning using AMIGOS with  Gaussian expectatio...Affective analysis in machine learning using AMIGOS with  Gaussian expectatio...
Affective analysis in machine learning using AMIGOS with Gaussian expectatio...
International Journal of Reconfigurable and Embedded Systems
 
An approach to diagnosis of prostate cancer using fuzzy logic
An approach to diagnosis of prostate cancer using fuzzy logicAn approach to diagnosis of prostate cancer using fuzzy logic
An approach to diagnosis of prostate cancer using fuzzy logic
International Journal of Reconfigurable and Embedded Systems
 
Deep convolutional neural network framework with multi-modal fusion for Alzhe...
Deep convolutional neural network framework with multi-modal fusion for Alzhe...Deep convolutional neural network framework with multi-modal fusion for Alzhe...
Deep convolutional neural network framework with multi-modal fusion for Alzhe...
International Journal of Reconfigurable and Embedded Systems
 
AnoMalNet: outlier detection based malaria cell image classification method l...
AnoMalNet: outlier detection based malaria cell image classification method l...AnoMalNet: outlier detection based malaria cell image classification method l...
AnoMalNet: outlier detection based malaria cell image classification method l...
International Journal of Reconfigurable and Embedded Systems
 
Accurate plant species analysis for plant classification using convolutional...
Accurate plant species analysis for plant classification using  convolutional...Accurate plant species analysis for plant classification using  convolutional...
Accurate plant species analysis for plant classification using convolutional...
International Journal of Reconfigurable and Embedded Systems
 
Design of access control framework for big data as a service platform
Design of access control framework for big data as a service platformDesign of access control framework for big data as a service platform
Design of access control framework for big data as a service platform
International Journal of Reconfigurable and Embedded Systems
 
Proximate node aware optimal and secure data aggregation in wireless sensor ...
Proximate node aware optimal and secure data aggregation in  wireless sensor ...Proximate node aware optimal and secure data aggregation in  wireless sensor ...
Proximate node aware optimal and secure data aggregation in wireless sensor ...
International Journal of Reconfigurable and Embedded Systems
 
Hyperelliptic curve based authentication for the internet of drones
Hyperelliptic curve based authentication for the internet of  dronesHyperelliptic curve based authentication for the internet of  drones
Hyperelliptic curve based authentication for the internet of drones
International Journal of Reconfigurable and Embedded Systems
 
Remote surveillance of enclosed and open architectures using unmanned vehicl...
Remote surveillance of enclosed and open architectures using  unmanned vehicl...Remote surveillance of enclosed and open architectures using  unmanned vehicl...
Remote surveillance of enclosed and open architectures using unmanned vehicl...
International Journal of Reconfigurable and Embedded Systems
 
Innovative systems for the detection of air particles in the quarries of the...
Innovative systems for the detection of air particles in the  quarries of the...Innovative systems for the detection of air particles in the  quarries of the...
Innovative systems for the detection of air particles in the quarries of the...
International Journal of Reconfigurable and Embedded Systems
 
Design and build an airbag system for elderly fall protection using the MPU6...
Design and build an airbag system for elderly fall protection  using the MPU6...Design and build an airbag system for elderly fall protection  using the MPU6...
Design and build an airbag system for elderly fall protection using the MPU6...
International Journal of Reconfigurable and Embedded Systems
 
Design of Arduino UNO based smart irrigation system for real time applications
Design of Arduino UNO based smart irrigation system for real  time applicationsDesign of Arduino UNO based smart irrigation system for real  time applications
Design of Arduino UNO based smart irrigation system for real time applications
International Journal of Reconfigurable and Embedded Systems
 
C4O: chain-based cooperative clustering using coati optimization algorithm i...
C4O: chain-based cooperative clustering using coati  optimization algorithm i...C4O: chain-based cooperative clustering using coati  optimization algorithm i...
C4O: chain-based cooperative clustering using coati optimization algorithm i...
International Journal of Reconfigurable and Embedded Systems
 
Radio frequency identification based materials tracking system for construct...
Radio frequency identification based materials tracking system  for construct...Radio frequency identification based materials tracking system  for construct...
Radio frequency identification based materials tracking system for construct...
International Journal of Reconfigurable and Embedded Systems
 
Machine learning classifiers for fall detection leveraging LoRa communicatio...
Machine learning classifiers for fall detection leveraging LoRa  communicatio...Machine learning classifiers for fall detection leveraging LoRa  communicatio...
Machine learning classifiers for fall detection leveraging LoRa communicatio...
International Journal of Reconfigurable and Embedded Systems
 
Efficient very large-scale integration architecture design of proportionate-...
Efficient very large-scale integration architecture design of  proportionate-...Efficient very large-scale integration architecture design of  proportionate-...
Efficient very large-scale integration architecture design of proportionate-...
International Journal of Reconfigurable and Embedded Systems
 
Role of tuning techniques in advancing the performance of negative capacitanc...
Role of tuning techniques in advancing the performance of negative capacitanc...Role of tuning techniques in advancing the performance of negative capacitanc...
Role of tuning techniques in advancing the performance of negative capacitanc...
International Journal of Reconfigurable and Embedded Systems
 
Design and development of control and monitoring hydroponic system
Design and development of control and monitoring hydroponic  systemDesign and development of control and monitoring hydroponic  system
Design and development of control and monitoring hydroponic system
International Journal of Reconfigurable and Embedded Systems
 

More from International Journal of Reconfigurable and Embedded Systems (20)

Telugu letters dataset and parallel deep convolutional neural network with a...
Telugu letters dataset and parallel deep convolutional neural  network with a...Telugu letters dataset and parallel deep convolutional neural  network with a...
Telugu letters dataset and parallel deep convolutional neural network with a...
 
Task level energy and performance assurance workload scheduling model in dis...
Task level energy and performance assurance workload  scheduling model in dis...Task level energy and performance assurance workload  scheduling model in dis...
Task level energy and performance assurance workload scheduling model in dis...
 
Affective analysis in machine learning using AMIGOS with Gaussian expectatio...
Affective analysis in machine learning using AMIGOS with  Gaussian expectatio...Affective analysis in machine learning using AMIGOS with  Gaussian expectatio...
Affective analysis in machine learning using AMIGOS with Gaussian expectatio...
 
An approach to diagnosis of prostate cancer using fuzzy logic
An approach to diagnosis of prostate cancer using fuzzy logicAn approach to diagnosis of prostate cancer using fuzzy logic
An approach to diagnosis of prostate cancer using fuzzy logic
 
Deep convolutional neural network framework with multi-modal fusion for Alzhe...
Deep convolutional neural network framework with multi-modal fusion for Alzhe...Deep convolutional neural network framework with multi-modal fusion for Alzhe...
Deep convolutional neural network framework with multi-modal fusion for Alzhe...
 
AnoMalNet: outlier detection based malaria cell image classification method l...
AnoMalNet: outlier detection based malaria cell image classification method l...AnoMalNet: outlier detection based malaria cell image classification method l...
AnoMalNet: outlier detection based malaria cell image classification method l...
 
Accurate plant species analysis for plant classification using convolutional...
Accurate plant species analysis for plant classification using  convolutional...Accurate plant species analysis for plant classification using  convolutional...
Accurate plant species analysis for plant classification using convolutional...
 
Design of access control framework for big data as a service platform
Design of access control framework for big data as a service platformDesign of access control framework for big data as a service platform
Design of access control framework for big data as a service platform
 
Proximate node aware optimal and secure data aggregation in wireless sensor ...
Proximate node aware optimal and secure data aggregation in  wireless sensor ...Proximate node aware optimal and secure data aggregation in  wireless sensor ...
Proximate node aware optimal and secure data aggregation in wireless sensor ...
 
Hyperelliptic curve based authentication for the internet of drones
Hyperelliptic curve based authentication for the internet of  dronesHyperelliptic curve based authentication for the internet of  drones
Hyperelliptic curve based authentication for the internet of drones
 
Remote surveillance of enclosed and open architectures using unmanned vehicl...
Remote surveillance of enclosed and open architectures using  unmanned vehicl...Remote surveillance of enclosed and open architectures using  unmanned vehicl...
Remote surveillance of enclosed and open architectures using unmanned vehicl...
 
Innovative systems for the detection of air particles in the quarries of the...
Innovative systems for the detection of air particles in the  quarries of the...Innovative systems for the detection of air particles in the  quarries of the...
Innovative systems for the detection of air particles in the quarries of the...
 
Design and build an airbag system for elderly fall protection using the MPU6...
Design and build an airbag system for elderly fall protection  using the MPU6...Design and build an airbag system for elderly fall protection  using the MPU6...
Design and build an airbag system for elderly fall protection using the MPU6...
 
Design of Arduino UNO based smart irrigation system for real time applications
Design of Arduino UNO based smart irrigation system for real  time applicationsDesign of Arduino UNO based smart irrigation system for real  time applications
Design of Arduino UNO based smart irrigation system for real time applications
 
C4O: chain-based cooperative clustering using coati optimization algorithm i...
C4O: chain-based cooperative clustering using coati  optimization algorithm i...C4O: chain-based cooperative clustering using coati  optimization algorithm i...
C4O: chain-based cooperative clustering using coati optimization algorithm i...
 
Radio frequency identification based materials tracking system for construct...
Radio frequency identification based materials tracking system  for construct...Radio frequency identification based materials tracking system  for construct...
Radio frequency identification based materials tracking system for construct...
 
Machine learning classifiers for fall detection leveraging LoRa communicatio...
Machine learning classifiers for fall detection leveraging LoRa  communicatio...Machine learning classifiers for fall detection leveraging LoRa  communicatio...
Machine learning classifiers for fall detection leveraging LoRa communicatio...
 
Efficient very large-scale integration architecture design of proportionate-...
Efficient very large-scale integration architecture design of  proportionate-...Efficient very large-scale integration architecture design of  proportionate-...
Efficient very large-scale integration architecture design of proportionate-...
 
Role of tuning techniques in advancing the performance of negative capacitanc...
Role of tuning techniques in advancing the performance of negative capacitanc...Role of tuning techniques in advancing the performance of negative capacitanc...
Role of tuning techniques in advancing the performance of negative capacitanc...
 
Design and development of control and monitoring hydroponic system
Design and development of control and monitoring hydroponic  systemDesign and development of control and monitoring hydroponic  system
Design and development of control and monitoring hydroponic system
 

Recently uploaded

Automobile Management System Project Report.pdf
Automobile Management System Project Report.pdfAutomobile Management System Project Report.pdf
Automobile Management System Project Report.pdf
Kamal Acharya
 
fluid mechanics gate notes . gate all pyqs answer
fluid mechanics gate notes . gate all pyqs answerfluid mechanics gate notes . gate all pyqs answer
fluid mechanics gate notes . gate all pyqs answer
apareshmondalnita
 
shape functions of 1D and 2 D rectangular elements.pptx
shape functions of 1D and 2 D rectangular elements.pptxshape functions of 1D and 2 D rectangular elements.pptx
shape functions of 1D and 2 D rectangular elements.pptx
VishalDeshpande27
 
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSETECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
DuvanRamosGarzon1
 
Scaling in conventional MOSFET for constant electric field and constant voltage
Scaling in conventional MOSFET for constant electric field and constant voltageScaling in conventional MOSFET for constant electric field and constant voltage
Scaling in conventional MOSFET for constant electric field and constant voltage
RCC Institute of Information Technology
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
Neometrix_Engineering_Pvt_Ltd
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
Halogenation process of chemical process industries
Halogenation process of chemical process industriesHalogenation process of chemical process industries
Halogenation process of chemical process industries
MuhammadTufail242431
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
ankuprajapati0525
 
Online resume builder management system project report.pdf
Online resume builder management system project report.pdfOnline resume builder management system project report.pdf
Online resume builder management system project report.pdf
Kamal Acharya
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
PrashantGoswami42
 
Event Management System Vb Net Project Report.pdf
Event Management System Vb Net  Project Report.pdfEvent Management System Vb Net  Project Report.pdf
Event Management System Vb Net Project Report.pdf
Kamal Acharya
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
AafreenAbuthahir2
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 
Democratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek AryaDemocratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek Arya
abh.arya
 
Vaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdfVaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdf
Kamal Acharya
 
NO1 Pandit Amil Baba In Bahawalpur, Sargodha, Sialkot, Sheikhupura, Rahim Yar...
NO1 Pandit Amil Baba In Bahawalpur, Sargodha, Sialkot, Sheikhupura, Rahim Yar...NO1 Pandit Amil Baba In Bahawalpur, Sargodha, Sialkot, Sheikhupura, Rahim Yar...
NO1 Pandit Amil Baba In Bahawalpur, Sargodha, Sialkot, Sheikhupura, Rahim Yar...
Amil baba
 
Chat application through client server management system project.pdf
Chat application through client server management system project.pdfChat application through client server management system project.pdf
Chat application through client server management system project.pdf
Kamal Acharya
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
Kamal Acharya
 

Recently uploaded (20)

Automobile Management System Project Report.pdf
Automobile Management System Project Report.pdfAutomobile Management System Project Report.pdf
Automobile Management System Project Report.pdf
 
fluid mechanics gate notes . gate all pyqs answer
fluid mechanics gate notes . gate all pyqs answerfluid mechanics gate notes . gate all pyqs answer
fluid mechanics gate notes . gate all pyqs answer
 
shape functions of 1D and 2 D rectangular elements.pptx
shape functions of 1D and 2 D rectangular elements.pptxshape functions of 1D and 2 D rectangular elements.pptx
shape functions of 1D and 2 D rectangular elements.pptx
 
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSETECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
 
Scaling in conventional MOSFET for constant electric field and constant voltage
Scaling in conventional MOSFET for constant electric field and constant voltageScaling in conventional MOSFET for constant electric field and constant voltage
Scaling in conventional MOSFET for constant electric field and constant voltage
 
Standard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - NeometrixStandard Reomte Control Interface - Neometrix
Standard Reomte Control Interface - Neometrix
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
Halogenation process of chemical process industries
Halogenation process of chemical process industriesHalogenation process of chemical process industries
Halogenation process of chemical process industries
 
The role of big data in decision making.
The role of big data in decision making.The role of big data in decision making.
The role of big data in decision making.
 
Online resume builder management system project report.pdf
Online resume builder management system project report.pdfOnline resume builder management system project report.pdf
Online resume builder management system project report.pdf
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
 
Event Management System Vb Net Project Report.pdf
Event Management System Vb Net  Project Report.pdfEvent Management System Vb Net  Project Report.pdf
Event Management System Vb Net Project Report.pdf
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 
Democratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek AryaDemocratizing Fuzzing at Scale by Abhishek Arya
Democratizing Fuzzing at Scale by Abhishek Arya
 
Vaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdfVaccine management system project report documentation..pdf
Vaccine management system project report documentation..pdf
 
NO1 Pandit Amil Baba In Bahawalpur, Sargodha, Sialkot, Sheikhupura, Rahim Yar...
NO1 Pandit Amil Baba In Bahawalpur, Sargodha, Sialkot, Sheikhupura, Rahim Yar...NO1 Pandit Amil Baba In Bahawalpur, Sargodha, Sialkot, Sheikhupura, Rahim Yar...
NO1 Pandit Amil Baba In Bahawalpur, Sargodha, Sialkot, Sheikhupura, Rahim Yar...
 
Chat application through client server management system project.pdf
Chat application through client server management system project.pdfChat application through client server management system project.pdf
Chat application through client server management system project.pdf
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
Student information management system project report ii.pdf
Student information management system project report ii.pdfStudent information management system project report ii.pdf
Student information management system project report ii.pdf
 

Ultra-low leakage static random access memory design

  • 1. International Journal of Reconfigurable and Embedded Systems (IJRES) Vol. 12, No. 1, March 2023, pp. 60~69 ISSN: 2089-4864, DOI: 10.11591/ijres.v12.i1.pp60-69  60 Journal homepage: http://ijres.iaescore.com Ultra-low leakage static random access memory design Didigam Anitha, Mohd. Masood Ahmad Department of Electronics and Communications, Faculty of Engineering, GITAM University, Telangana, India Article Info ABSTRACT Article history: Received Jul 8, 2022 Revised Aug 7, 2022 Accepted Aug 26, 2022 An ultra-low leakage static random-access memory (SRAM) cell structure with 8 transistors is proposed in this paper. Compared to the 6T SRAM and other existing 8T SRAM cells, leakage power of the proposed cell in hold mode reduced significantly. The stability parameters of the proposed cell are calculated using butterfly method and also N-curve method. Proposed SRAM achieves better write margin with slightly less read margin than 6T SRAM. Proposed technique consumes 790 PW of power in hold mode, which is very less compared to other existing techniques. Therefore, the proposed cell is appropriate for hold mode applications. The simulations are carried out by using Cadence (Virtuoso Schematic and layout editor) tools with GPDK45-nm technology. Keywords: 8T-SRAM Hold power NC-SRAM N-curve SRAM This is an open access article under the CC BY-SA license. Corresponding Author: Didigam Anitha Department of Electronics and Communications, Faculty of Engineering, GITAM University Hyderabad, Telangana, India Email: adid@gitam.edu 1. INTRODUCTION Portable electronic gadgets lead to the scaling of devices and more battery life. To decrease the dynamic power dissipation, the supply voltage (VDD) is reduced, which makes the threshold voltage (Vth) is also to decrease. However, sub-threshold power increases due to decreased threshold voltage [1], as it is exponentially dependent on the Vth. Reduction of oxide thickness (tox) causes gate tunneling current, hot carrier injection and results in increased gate leakage current. In modern IC’s leakage power is the major concern, as it is around 40% of total power consumption. SRAM cell is the one, which consists of cross coupled inverter latch [2], used to store one-bit data. It retains this data until the supply voltage is ‘ON’. No continuous refreshing mechanism is required like dynamic RAM (DRAM). SRAM finds applications in cache memory as it provides high-speed operation. These SRAM arrays cause the main sources of leakage currents as many such cells are present in on-chip memory design [3]. A novel design method is discussed in this paper to reduce the leakage power of SRAM cell by adding two extra transistors at the power supply. The proposed circuit also achieves good stability parameters along with the static power reduction. This work is mainly focused on power dissipation in hold mode. Because systems like CC cameras will be kept in standby mode for long time than active mode. Hence reduction of standby power without the stored data degradation is a major concern. The leakage power of a Metal oxide silicon field effect transistor is mainly contributed by sub-threshold leakage, junction leakage and gate leakage currents [4]. When the gate voltage (Vgs) of the Metal oxide silicon field effect transistor (MOSFET) is below threshold voltage (Vth), sub-threshold leakage occurs. Junction leakage is the leakage due to reverse biased PN junction current of the MOSFET, occurs due to minority carrier drift in the depletion region. It is dependent on the doping concentration and junction area.
  • 2. Int J Reconfigurable & Embedded Syst ISSN: 2089-4864  Ultra-low leakage static random access memory design (Didigam Anitha) 61 𝐼𝑠𝑢𝑏𝑡ℎ𝑟𝑒𝑠ℎ𝑜𝑙𝑑 = 𝐼0𝑒 𝑉𝑔𝑠−𝑉𝑡ℎ 𝜂𝑉𝑇 (1 − 𝑒 −𝑉𝑑𝑠 𝑉𝑇 ) where 𝐼0 = 𝜇0𝐶𝑜𝑥 𝑊 𝐿 (𝑚 − 1)(𝑉𝑇)2 Gate leakage current is described by: 𝐼𝑔𝑎𝑡𝑒 = 𝑊𝐿𝐴 ( 𝑉𝑜𝑥 𝑡𝑜𝑥 ) 2 exp ( −𝐵(1−(1− 𝑉𝑜𝑥 𝜑𝑜𝑥 ) 3 2 ⁄ ) 𝑉𝑜𝑥 𝑡𝑜𝑥 ) where 𝐴 = ( 𝑞3 16𝜋2ℎ𝛷𝑜𝑥 ) , 𝐵 = ( 4𝜋√2𝑚𝑜𝑥𝛷𝑜𝑥 3 2 3ℎ𝑞 ) 2. ARCHITECTURE 2.1. Basic 6T SRAM architecture The architecture of 6T SRAM cell, shown in Figure 1. It is comprised of two inverter pairs (P0-N0 is one inverter and P1-N1 is another). These inverters are connected in the form of loop to store one bit information [2]. Outputs are available at ‘Q’ and ‘QB’ nodes, which are complement to each other. Two pass transistors N2 and N3 are connected at the output of inverters to access the data present on ‘Q’ and ‘QB’. Source terminal of these transistors are connected to bit-line (BL) and bit-line bar (BLB). Figure 1. Circuit diagram of 6T SRAM cell 2.2. Operation of SRAM cell In read mode, word line (WL)=’1’ and bit lines BL and BLB are kept at Vdd. In this mode, the contents stored in the SRAM cell will be read. To achieve better stability cell ratio ≥1.2 shall be maintained. During write mode, the contents of SRAM cell will be updated. For example, to write ‘1’ into the SRAM cell, WL must be assumed as ‘1’ and BL= ‘0’ & BLB= ‘1’. To achieve better write stability, pull-up ratio must be maintained. In hold mode operation, since WL=0, N2 and N3 are detached from the inverter latch, and the inverter pair holds the value. 2.3. NC- SRAM cell The architecture of NC-SRAM proposed in [6] is shown in Figure 2, uses the concept of dynamic voltage scaling (DVS). For the basic 6T SRAM cell, two NMOS transistors N4 and N5 are added as shown in figure. N4 and N5 transistors offer different grounds in read/write mode and hold mode. The source of NMOS transistors is connected to Vs (which is higher potential than VSS) in hold mode and connected to Vss in active mode. The concept of positive ground voltage reduces the leakage power considerably.
  • 3.  ISSN: 2089-4864 Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69 62 Figure 2. Circuit diagram NC SRAM Cell 2.4. 8T SRAM cell An SRAM cell, with 8 transistors proposed in is shown in Figure 3. The architecture of this cell is same as that of NC SRAM cell, except header cells [7], [8]. The Header cell uses different VDD levels. The hold mode power dissipation is reduced significantly in this cell compared to conventional 6T SRAM and N- controlled SRAM cells. However, this 8T SRAM cell suffers to maintain the data in the hold mode. Figure 3. Circuit diagram of 8T SRAM Cell 3. PROPOSED 8T SRAM CELL 3.1. Cell Architecture The proposed cell is slightly modified from that of 8T SRAM cell and is shown in Figure 4. In the 8T SRAM cell, transistor M8 is controlled by WL whereas in the proposed cell, M8 is controlled by WLB. For hold mode operation, WL=0 and WLB=1 makes the inverter latch to connect to reduced VDD through M8 transistor. Here M7 is a PMOS transistor is connected to VDD and M8 is a NMOS transistor connected
  • 4. Int J Reconfigurable & Embedded Syst ISSN: 2089-4864  Ultra-low leakage static random access memory design (Didigam Anitha) 63 to reduced voltage, V<VDD. Both M7 and M8 transistors are controlled by WLB. Here, M7, M8 are high threshold (Vt) cells, to reduce the leakage power. Further, M5 and M6 also high threshold cells, used for leakage reduction in bit lines. Figure 4. Proposed 8T SRAM cell circuit 3.2. Operation 3.2.1. Hold mode In this mode, WL remains low and WLB is high. The transistors M5 and M6 remain turned off. The inverter pair is connected to the reduced supply voltage (V<Vdd) through M8, as M8 is a NMOS and is connected to WLB. Thus, the data stored in the inverter latch is maintained during this mode. Huge reduction in the power dissipation is possible with this circuit as the inverter latch is at reduced supply voltage. 3.2.2. Read mode Bit lines (BL and BLB) are prechraged to supply voltage (VDD) [9],[10] in this mode. WL is maintained high during this mode. Read stability is obtained by proper sizing of transistors. The operation of the SRAM in read mode is as follows. Assume, ‘1’ is being stored at node Q, ‘0’ at node QB, then BLB discharges to ground through M5 and M2 transistors. The sense amplifiers connected surrounding the SRAM cells, detect this decrease in the voltage present at BLB and reads it as ‘0’. 3.2.3. Write mode To update the contents in the SRAM cell, write mode is used. To write ‘0’ at node ‘Q’, WL must be assumed as ‘1’ and BL= ‘0’ & BLB= ‘1’ shall be taken. Then the values at node ‘Q’ and ‘QB’ will be written as ‘0’ and ‘1’ respectively. 4. RESULTS AND DISCUSSION 4.1. Power analysis At 45 nm technology node, leakage current equations are given by (1), (2) and (3). The leakage analysis can be explained as follows. Say, ‘1’ is stored at node ‘Q’ nd ‘0’ at ‘QB’ and the cell is in standby mode. In this case, transistors M1, M4 and M5 [11] contributes the subthreshold leakage as described in (1). As shown in (2) and (3) describes Junction leakage & gate leakage currents. In the proposed cell, V is usually less voltage than supply voltage (Vdd). Due to this, power dissipation the proposed cell is reduced. Transistors M5, M6, M7 &M8 are high threshold cells, thus ensures further reduction in leakage currents. Though 8T SRAM cell [6] is operating on the same principle, it is unable to retain the data in hold mode. The simulation waveforms are shown in Figure 5. From these waveforms it can be observed that, the data in the hold mode is retained by providing larger leakage reduction.
  • 5.  ISSN: 2089-4864 Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69 64 𝐼𝑠𝑢𝑏=𝐼𝑠𝑢𝑏𝑀5 + 𝐼𝑠𝑢𝑏𝑀4 + 𝐼𝑠𝑢𝑏𝑀1 (1) 𝐼𝐽𝑁 = 𝐼𝐽𝑁𝑑𝑀6 + 𝐼𝐽𝑁𝑠𝑀6 + 𝐼𝐽𝑁𝑑𝑀5 + 𝐼𝐽𝑁𝑑𝑀4 + 𝐼𝐽𝑁𝑑𝑀1 (2) 𝐼𝑔 = 𝐼𝑔𝑑𝑀6 + 𝐼𝑔𝑠𝑀6 + 𝐼𝑔𝑑𝑀5 + 𝐼𝑔𝑑𝑀1 + 𝐼𝑔𝑑𝑀2 + 𝐼𝑔𝑠𝑀2 + 𝐼𝑔𝑑𝑀3 + 𝐼𝑔𝑠𝑀3 + 𝐼𝑔𝑑𝑀2 (3) And total leakage is 𝐼𝑙𝑒𝑎𝑘 = 𝐼𝑠𝑢𝑏 + 𝐼𝐽𝑁 + 𝐼𝑔 Hold mode power dissipation of 6T SRAM and proposed SRAM cells is shown in Figures 6 and 7 tabulated in Table 1. Figure 5. Simulation waveforms of the proposed SRAM cell Figure 6. Hold mode Power dissipation of basic SRAM cell Time (mS)
  • 6. Int J Reconfigurable & Embedded Syst ISSN: 2089-4864  Ultra-low leakage static random access memory design (Didigam Anitha) 65 Figure 7. Hold mode power dissipaion proposed SRAM cell Table 1. Power dissipation comparison Parameter 6T SRAM 8T SRAM Proposed SRAM Static power (W) 4.2μ 6.22n 795p Total power (W) 4.5 μ 3.4 μ 243n 4.2. Stability analysis SRAM cell stability is described by static noise margin (SNM). This performance metric parameter of the SRAM [12]-[14] is classified into 3 types: i) read SNM: it is the SNM in read mode; ii) hold SNM: it is the SNM in hold mode; iii) write SNM: SNM in write mode. To measure the SNM in butterfly method, inverter pair transfer characteristics are plotted, and the best fit square is drawn between these characteristic curves. In this method, two different circuits are required to obtain the read SNM and write SNM. In N-curve method [15]-[18], SNM in both read and write modes can be obtained at a time. Butterfly curves of basic 6T SRAM cell and proposed SRAM cell are depicted in Figures 8 and 9 respectively. N-curves of both cells are shown in Figures 10 and 11. Performance parameters obtained in both methods are tabulated in Tables 2 and 3. Figure 8. Read mode SNM of basic SRAM cell Figure 9. Read SNM of proposed SRAM cell Time (mS)
  • 7.  ISSN: 2089-4864 Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69 66 Figure 10. N-curve of 6T SRAM cell Figure 11. N-curve of proposed SRAM cell Table 2. SNM from butterfly method Parameter 6T SRAM Proposed SRAM SNM(V) 0.2 0.21 SNM @ process corners(V) 0.22 0.21 Table 3. Stability parameters from N-Curve method Parameter Basic 6T SRAM Proposed SRAM SVNM (mV) 258 293 SINM (μA) 11 23 WTV (mV) 420 429 WTI(μA) -6.1 -15 4.3. Statistical analysis Statistical analysis is the advanced analysis in cadence and is used to measure parametric variations and circuit robustness [19]-[22]. By initiating 10% variations in threshold voltage (Vth) along with 10% variations in oxide thickness (Tox) statistical analysis is performed for 100 runs. These results are given in Figures 12 and 13. Figure 12. Histogram of hold mode power dissipation of basic 6T cell
  • 8. Int J Reconfigurable & Embedded Syst ISSN: 2089-4864  Ultra-low leakage static random access memory design (Didigam Anitha) 67 Figure 13. Histogram of hold mode power dissipation of proposed SRAM cell 4.4. Area analysis The layouts [23]-[25] for the basic 6T SRAM cell and our proposed SRAM cell are drawn and shown in Figure 14 and Figure 15. The key observations are, proposed SRAM takes 13% more area than 6T SRAM as it consists of extra two transistors at power supply. Comparison of all performance parameters of proposed SRAM cell with other similar existing SRAM cells is given in Table 4. Figure 14. Layout of basic 6T SRAM cell
  • 9.  ISSN: 2089-4864 Int J Reconfigurable & Embedded Syst, Vol. 12, No. 1, March 2023: 60-69 68 Figure 15. Layout of proposed SRAM cell Table 4. Comparison of performance parameters of SRAM Parameters 6T SRAM NC-SRAM 8T SRAM Proposed SRAM Static power (W) 4.23 μ 262 n 3.26 μ 6.22 n Total power (W) 4.57 μ 2.3μ 3.49 μ 3.40 μ Static Noise Margin (V) 0.21 0.15 0.15 0.21 Delay (Sec.) 170p 213p 183p 144p Static power delay product (W-Sec) 8.143×10-16 55860×10-21 596.6×10-16 895.7×10-21 Total Power delay product (W-Sec) 8.797×10-16 4.89×10-16 6.39×10-16 4.89×10-16 5. CONCLUSION Design of SRAM cell with ultra-low leakage power is presented in this paper. The simulations are carried out using GPDK 45 nm CMOS technology transistors in cadence. This SRAM with 8 Transistors achieves very less leakage power compared to other existing techniques. Not only leakage power, total power dissipation of the proposed SRAM is also reduced significantly. Stability analysis is performed and the SNM is calculated by using both N-curve and butterfly methods. Proposed cell is more suitable for hold mode applications as its power dissipation in hold mode is only 790 pW. But the drawback with this proposed SRAM is, it shows 13% more area overhead than the 6T SRAM cell. REFERENCES [1] K. Roy and S. Prasad, Low power CMOS VLSI circuit design. Wiley, 2000. [2] R. S. Whiskin, “Digital integrated circuits,” Electronics Testing & Measurement, London: Macmillan Education, 1972, pp. 73–80. [3] G. Razavipour, A. Afzali-Kusha, and M. Pedram, “Design and analysis of two low-power SRAM cell structures,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 17, no. 10, pp. 1551–1555, 2009, doi: 10.1109/TVLSI.2008.2004590. [4] C. Piguet, Low power electronic design. CRC Press, 2004. [5] K. Vanama, R. Gunnuthula, and G. Prasad, “Design of low power stable SRAM cell,” in 2014 International Conference on Circuits, Power and Computing Technologies, ICCPCT 2014, Mar. 2014, pp. 1263–1267, doi: 10.1109/ICCPCT.2014.7054980. [6] P. Elakkumanan, A. Narasimhan, and R. Sridhar, “NC-SRAM - A low-leakage memory circuit for ultra deep submicron designs,” in Proceedings - IEEE International SOC Conference, SOCC 2003, 2003, pp. 3–6, doi: 10.1109/SOC.2003.1241450. [7] D. Anitha, K. Manjunathachari, P. Sathish Kumar, and G. Prasad, “Design of low leakage process tolerant SRAM cell,” Analog Integrated Circuits and Signal Processing, vol. 93, no. 3, pp. 531–538, Dec. 2017, doi: 10.1007/s10470-017-1061-9. [8] G. Prasad and A. Anand, “Statistical analysis of low-power SRAM cell structure,” Analog Integrated Circuits and Signal Processing, vol. 82, no. 1, pp. 349–358, Jan. 2015, doi: 10.1007/s10470-014-0463-1. [9] Z. Liu and V. Kursun, “Characterization of a novel nine-transistor SRAM Cell,” IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 16, no. 4, pp. 488–492, Apr. 2008, doi: 10.1109/TVLSI.2007.915499. [10] A. Islam and M. Hasan, “Leakage characterization of 10T SRAM Cell,” IEEE Transactions on Electron Devices, vol. 59, no. 3, pp. 631–638, Mar. 2012, doi: 10.1109/TED.2011.2181387. [11] E. Grossar, M. Stucchi, K. Maex, and W. Dehaene, “Read stability and write-ability analysis of SRAM cells for nanometer technologies,” IEEE Journal of Solid-State Circuits, vol. 41, no. 11, pp. 2577–2588, 2006, doi: 10.1109/JSSC.2006.883344.
  • 10. Int J Reconfigurable & Embedded Syst ISSN: 2089-4864  Ultra-low leakage static random access memory design (Didigam Anitha) 69 [12] K. Nii et al., “A 45-nm Bulk CMOS embedded SRAM with improved immunity against process and temperature variations,” IEEE Journal of Solid-State Circuits, vol. 43, no. 1, pp. 180–191, Jan. 2008, doi: 10.1109/JSSC.2007.907998. [13] D. Mukherjee, H. K. Mondal, and B. V. R. Reddy, “Static noise margin analysis of SRAM cell for high speed application,” IJCSI International Journal of Computer Science Issues, vol. 7, no. 5, pp. 175–180, 2010, [Online]. Available: www.IJCSI.org. [14] E. Seevinck, F. J. List, and J. Lohstroh, “Static-noise margin analysis of MOS SRAM cells,” IEEE Journal of Solid-State Circuits, vol. 22, no. 5, pp. 748–754, Oct. 1987, doi: 10.1109/JSSC.1987.1052809. [15] M. Samson and M. B. Srinivas, “Analyzing N-Curve metrics for sub-threshold 65nm CMOS SRAM,” in 2008 8th IEEE Conference on Nanotechnology, Aug. 2008, pp. 25–28, doi: 10.1109/NANO.2008.16. [16] A Choudary et al., ” Ultra low power SRAM cell for high-speed applications”in International Conference on Reliability, Infocom Technologies and Optimization, September 2020, pp. 175-185, doi: 10.1109/ICRITO48877.2020.9197869. [17] C. Wann et al., “SRAM cell design for stability methodology,” in IEEE VLSI-TSA International Symposium on VLSI Technology, 2005. (VLSI-TSA-Tech)., 2005, pp. 21–22, doi: 10.1109/VTSA.2005.1497065. [18] D. Anitha, K. M. Chari, and P. S. Kumar, “N-Curve analysis of low power SRAM Cell,” in 2018 Second International Conference on Inventive Communication and Computational Technologies (ICICCT), Apr. 2018, pp. 1645–1650, doi: 10.1109/ICICCT.2018.8473215. [19] S. Lin, Y.-B. Kim, and F. Lombardi, “Design and analysis of a 32 nm PVT tolerant CMOS SRAM cell for low leakage and high stability,” Integration, vol. 43, no. 2, pp. 176–187, Apr. 2010, doi: 10.1016/j.vlsi.2010.01.003. [20] X. Wang, C. Lu, and Z. Mao, “Charge recycling 8T SRAM design for low voltage robust operation,” AEU - International Journal of Electronics and Communications, vol. 70, no. 1, pp. 25–32, Jan. 2016, doi: 10.1016/j.aeue.2015.09.014. [21] G. Prasad, “Novel low power 10T SRAM cell on 90nm CMOS,” in 2016 2nd International Conference on Advances in Electrical, Electronics, Information, Communication and Bio-Informatics (AEEICB), Feb. 2016, pp. 109–114, doi: 10.1109/AEEICB.2016.7538408. [22] G. Prasad and R. Kusuma, “Statistical (M-C) and static noise margin analysis of the SRAM cells,” in 2013 Students Conference on Engineering and Systems (SCES), Apr. 2013, pp. 1–5, doi: 10.1109/SCES.2013.6547557. [23] N. R. Kumar, “A review of low-power VLSI technology developments BT-Innovations in electronics and communication engineering,” Innovations in Electronics and Communication Engineering, vol. 7, no. Chapter 2, pp. 17–27, 2017, doi: 10.1007/978-981-10-3812-9_2. [24] C. A. Kumar, B. K. Madhavi, and K. Lalkishore, “Performance analysis of low power 6T SRAM cell in 180nm and 90nm,” in 2016 2nd International Conference on Advances in Electrical, Electronics, Information, Communication and Bio-Informatics (AEEICB), Feb. 2016, pp. 351–357, doi: 10.1109/AEEICB.2016.7538307. [25] G. Prasad, B. C. Mandi, and M. Jain, “Design and analysis of area and power optimised SRAM cell for high-speed processor,” in 2020 First International Conference on Power, Control and Computing Technologies (ICPC2T), Jan. 2020, pp. 363–367, doi: 10.1109/ICPC2T48082.2020.9071489. BIOGRAPHIES OF AUTHORS Didigam Anitha received her B.Tech degree in Electronics and Communication Engineering and her M.Tech in VLSI and Ph.D. in Low power VLSI at JNTU Hyderabad. She is working as Asst.prof. in GITAM University, Hyderabad. Her research includes VLSI, Mixed signal design and low power VLSI. She can be contacted at email: adid@gitam.edu. Mohd. Masood Ahmad received his B. E degree in Electronics and Communication Engineering and M. Tech in VLSI and Ph.D. in Low power VLSI at JNTU Hyderabad. He is working as Asst. prof. in GITAM University, Hyderabad. His research includes VLSI, Digital design and Low power VLSI. He can be contacted at email: mmahamma@gitam.edu.