SlideShare a Scribd company logo
1 of 19
Download to read offline
6/23/2014 © 2014 ANSYS, Inc. 1 
Totem™ Technologies for 
Analog / Memory / Mixed-Signal Designs 
Design Automation Conference 2014
6/23/2014 © 2014 ANSYS, Inc. 2 
Analog Mixed-Signal Trends & Challenges 
Technology Scaling 
High Analog + Digital Integration 
Increasing Sensor/IP usage 
Capacity 
Tighter Reliability Margins 
Concurrent 
Analog +Digital Analysis 
Sensor/IP 
Validation and Modeling 
>$60B 
Mobile Computing 
Automotive Electronics 
IoT/Connected Devices 
Key Market Drivers Technology Trends Challenges 
 Analog IC market expected to grow to $60B by 2015 
 Transition from discrete analog to analog subsystems 
 Complex verification and integration challenges
6/23/2014 © 2014 ANSYS, Inc. 3 
Totem: ‘Power-Noise-Reliability’ Platform 
SRAM 
Flash 
DRAM 
IO (DDR, SerDes) 
Custom Digital 
Analog/RF
6/23/2014 © 2014 ANSYS, Inc. 4 
Totem: Core Technologies 
Analysis 
Modeling 
• Support for complex analog and mixed signal designs 
• Transient analysis with ps resolution 
• EM/ESD analysis for advanced technology nodes 
• Transistor-level macro models 
• Multi-cycle Multi-mode models 
• Model roll up to SoC 
CMM 
Totem RedHawk 
Extraction 
• On-chip PG RLC + Substrate RC extraction 
• EM aware extraction
6/23/2014 © 2014 ANSYS, Inc. 5 
Design Modeling PG Extraction + Simulation 
Totem Analysis Flow 
GDSII 
Vector Simulation 
Signal Only Net-list 
Totem Internal Model 
GUI Based Debug 
Layout Annotated Results
6/23/2014 © 2014 ANSYS, Inc. 6 
Grid Weakness Check 
Resistance Bottleneck 
Grid Weakness View Layout View 
Missing VIA3 Missing VIA2 
Short Path Trace 
• Grid weakness map is the normalized 
resistance of all transistor pins in the design 
• Highlight weakly connected transistors
6/23/2014 © 2014 ANSYS, Inc. 7 
Case Study: Analog IP 
Analog Supply IR Map 
Metal3 strap not 
extended 
Layout View Gross Connectivity Issue 
Early layout analysis helps 
catch gross grid violations
6/23/2014 © 2014 ANSYS, Inc. 8 
Dynamic Voltage Drop 
• Worst IR drop of transistor pins shown 
• Cross probe a list of transistor pins 
with the layout 
• Plot the currents/voltage of all 
transistors based on list 
Transistor Pin IR Drop View Transistor Pin Cross-Probe
6/23/2014 © 2014 ANSYS, Inc. 9 
Substrate Noise Coupling 
Digital 
Core 
PLL Memories 
IN 
OUT 
Digital Core PLL/Analog block 
Device Threshold voltage modulation 
Level 
Functionality / performance issues in 
Analog & RF circuits 
Circuit 
Level 
Preventing integration 
of sensitive circuits 
System 
Level 
analog 
CPU 
Check/Sign-off Full-chip Noise 
PLL 
guard ring 
noise 
source victim 
Plan/Analyze Isolation Structures Check Noise Impact on Delay
6/23/2014 © 2014 ANSYS, Inc. 10 
Chip Image Sensors: Key Challenges 
Substrate
6/23/2014 © 2014 ANSYS, Inc. 11 
Chip Image Sensors: Key Challenges 
Substrate 
Digital Logic 
(Interface, Timing, Processing Output) 
ADC 
Analog to Digital Conversion 
Pixel Array 
Analog 
Signal 
Processing 
Substrate 
Noise Coupling 
• Digital Switching Noise 
• PG Grid Coupling 
• Substrate Noise Coupling
6/23/2014 © 2014 ANSYS, Inc. 12 
Chip Image Sensors: Key Challenges 
Power Noise Analysis 
• Digital Logic (Vectored/Vectorless) 
• Analog (Vectored) 
• Substrate Noise (Digital +Analog) 
Substrate 
Digital Logic 
(Interface, Timing, Processing Output) 
ADC 
Analog to Digital Conversion 
Pixel Array 
Analog 
Signal 
Processing 
Substrate 
Noise Coupling 
• Digital Switching Noise 
• PG Grid Coupling 
• Substrate Noise Coupling
6/23/2014 © 2014 ANSYS, Inc. 13 
BJT 
Poly R/TiN 
Metal R 
Mim/Mom 
Caps 
Diodes 
RF/Analog Design 
Support for Complex Structures BJT Device : Entire PNP Structure 
Emitter 
Base 
Collector 
Pdiff 
Ndiff 
BJT marker Layer
6/23/2014 © 2014 ANSYS, Inc. 14 
Case Study: RF Analog Power EM 
Schematic of RF/Analog Part of Chip 
Narrow M2 straps carrying significant current causing EM violation 
M3/M2/M1 Layout View M3/M2/M1 EM Map
6/23/2014 © 2014 ANSYS, Inc. 15 
Memory and Custom Digital Designs 
Complete Sign-off Flow 
Coverage/ 
Weakness 
Detection 
Sign-off 
Power Analysis 
Static DC 
Vectored 
Multi Cycle Multi 
State 
Signal EM 
Analysis 
VectorLess 
Transient 
Vectored 
Multi Cycle Multi 
State
6/23/2014 © 2014 ANSYS, Inc. 16 
Large FPGA Chip 
Small Design Large Design 
Node Count 14M 321M 
Transistor 
Count 
7M 228M 
Run Time 39mins 20h22m 
• Full-chip capacity 
• Large DRAM memories 
• Large FLASH memories 
• Embedded Big Analog, 
Small Digital blocks 
FPGA Faric with 
rows of 
SRAM, DSP blocks 
System 
Controller 
DDR 
Memory and 
Other 
IP Blocks 
IO 
IO 
Large Design Handling
6/23/2014 © 2014 ANSYS, Inc. 17 
IP Modeling and Sign-off for SoC 
IP/Block Design 
Sign-off 
IP/Block IR, DvD, 
EM, ESD Sign-off 
Totem 
IP Enabled 
Full Chip Sign-off 
Full-chip DvD/EM/ESD Sign-off 
IP Boundary condition Sign-off 
RedHawk 
Model Creation 
Embedd 
ed 
Constrai 
nts 
IP 
Protection 
Physical 
+ 
Electrical
6/23/2014 © 2014 ANSYS, Inc. 18 
Case Study: IP Modeling 
Xtor Level Voltage Drop of Mem1(WRITE) 
Xtor Level Voltage Drop of Mem2(READ) 
Worst xtor drop ~ 210mV 
Worst xtor drop ~ 130mV 
Mem1 Mem2 
Two instantiations of 
same memory macro 
Instance Level Voltage Drop Map SoC instantiating the hierarchical models 
generated using Totem
6/23/2014 © 2014 ANSYS, Inc. 19 
Totem: Power-Noise-Reliability 
Custom, Analog & RF Designs 
Noise 
Coupling 
Coverage Reliability Usability 
IR Drop 
DvD 
Substrate Noise 
Power EM 
Signal EM 
ESD 
Mem/SRAM 
DDR/Serdes 
TCAM/DRAM 
Analog/RF 
Versatile GUI 
Layout Based 
Full-Chip 
Capacity 
Coverage Noise Reliability Usability

More Related Content

What's hot

Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI illpa
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI DesignTeam-VLSI-ITMU
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technologyMantra VLSI
 
Double Patterning (4/2 update)
Double Patterning (4/2 update)Double Patterning (4/2 update)
Double Patterning (4/2 update)Danny Luk
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSISilicon Mentor
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignRajesh_navandar
 
optimazation of standard cell layout
optimazation of standard cell layoutoptimazation of standard cell layout
optimazation of standard cell layoutE ER Yash nagaria
 
Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variationsRajesh M
 
Library Characterization Flow
Library Characterization FlowLibrary Characterization Flow
Library Characterization FlowSatish Grandhi
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)shaik sharief
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyMurali Rai
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor propertiesDeiptii Das
 
High Performance Printed Circuit Boards - Lecture #3
High Performance Printed Circuit Boards - Lecture #3High Performance Printed Circuit Boards - Lecture #3
High Performance Printed Circuit Boards - Lecture #3Samsung Electro-Mechanics
 

What's hot (20)

Introduction to VLSI
Introduction to VLSI Introduction to VLSI
Introduction to VLSI
 
Placement in VLSI Design
Placement in VLSI DesignPlacement in VLSI Design
Placement in VLSI Design
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
Double Patterning (4/2 update)
Double Patterning (4/2 update)Double Patterning (4/2 update)
Double Patterning (4/2 update)
 
Low power VLSI design
Low power VLSI designLow power VLSI design
Low power VLSI design
 
Low Power Design Approach in VLSI
Low Power Design Approach in VLSILow Power Design Approach in VLSI
Low Power Design Approach in VLSI
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
optimazation of standard cell layout
optimazation of standard cell layoutoptimazation of standard cell layout
optimazation of standard cell layout
 
Study of inter and intra chip variations
Study of inter and intra chip variationsStudy of inter and intra chip variations
Study of inter and intra chip variations
 
ASIC_Design.pdf
ASIC_Design.pdfASIC_Design.pdf
ASIC_Design.pdf
 
Library Characterization Flow
Library Characterization FlowLibrary Characterization Flow
Library Characterization Flow
 
WPE
WPEWPE
WPE
 
SRAM Design
SRAM DesignSRAM Design
SRAM Design
 
Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)Multi mode multi corner (mmmc)
Multi mode multi corner (mmmc)
 
VLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool TerminalogyVLSI-Physical Design- Tool Terminalogy
VLSI-Physical Design- Tool Terminalogy
 
VLSI Power Reduction
VLSI Power ReductionVLSI Power Reduction
VLSI Power Reduction
 
45nm transistor properties
45nm transistor properties45nm transistor properties
45nm transistor properties
 
Lecture14
Lecture14Lecture14
Lecture14
 
ZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptxZERO WIRE LOAD MODEL.pptx
ZERO WIRE LOAD MODEL.pptx
 
High Performance Printed Circuit Boards - Lecture #3
High Performance Printed Circuit Boards - Lecture #3High Performance Printed Circuit Boards - Lecture #3
High Performance Printed Circuit Boards - Lecture #3
 

Viewers also liked

Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAnsys
 
Methods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power ConsistencyMethods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power ConsistencyAnsys
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderAnsys
 
PowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformPowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformAnsys
 
Layer 7 Technologies: Web Services Hacking And Hardening
Layer 7 Technologies: Web Services Hacking And HardeningLayer 7 Technologies: Web Services Hacking And Hardening
Layer 7 Technologies: Web Services Hacking And HardeningCA API Management
 
Power Management IC Overview
Power Management IC OverviewPower Management IC Overview
Power Management IC Overviewservoflo
 
An overview of access control
An overview of access controlAn overview of access control
An overview of access controlElimity
 
The role of internet in marketing research and business decision making…
The role of internet in marketing research and business decision making…The role of internet in marketing research and business decision making…
The role of internet in marketing research and business decision making…Ashwa Khan
 
Supplier Enablement – How to Bring Suppliers to Ariba Network
Supplier Enablement – How to Bring Suppliers to Ariba NetworkSupplier Enablement – How to Bring Suppliers to Ariba Network
Supplier Enablement – How to Bring Suppliers to Ariba NetworkSAP Ariba
 
Alumni management
Alumni managementAlumni management
Alumni managementGagan Gupta
 
Future of wire line access networks
Future of wire line access networksFuture of wire line access networks
Future of wire line access networksAnuradha Udunuwara
 
Assetprotectionservices
AssetprotectionservicesAssetprotectionservices
Assetprotectionservicesjonessmith
 
Smart Innovation Platform Flier - Grindstaff
Smart Innovation Platform Flier - GrindstaffSmart Innovation Platform Flier - Grindstaff
Smart Innovation Platform Flier - GrindstaffJohn Nixon
 
Digital learning ecosystem (multiple learners)
Digital learning ecosystem (multiple learners)Digital learning ecosystem (multiple learners)
Digital learning ecosystem (multiple learners)Molly B. Zielezinski PhD
 
Where the credit risk lies in letters of credit
Where the credit risk lies in letters of creditWhere the credit risk lies in letters of credit
Where the credit risk lies in letters of creditGeoff Burton
 
GEPON Presentation
GEPON  PresentationGEPON  Presentation
GEPON Presentationjuanhev
 
Exercise 7 animal tissues
Exercise 7   animal tissuesExercise 7   animal tissues
Exercise 7 animal tissuesAnne Kaw
 
Digital Marketing 101 - Interactive Training
Digital Marketing 101 - Interactive TrainingDigital Marketing 101 - Interactive Training
Digital Marketing 101 - Interactive TrainingDominique Hind
 

Viewers also liked (20)

Achieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based DesignsAchieving Power Noise Reliability Sign-off for FinFET based Designs
Achieving Power Noise Reliability Sign-off for FinFET based Designs
 
Methods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power ConsistencyMethods for Achieving RTL to Gate Power Consistency
Methods for Achieving RTL to Gate Power Consistency
 
How to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinderHow to Identify and Prevent ESD Failures using PathFinder
How to Identify and Prevent ESD Failures using PathFinder
 
PowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power PlatformPowerArtist: RTL Design for Power Platform
PowerArtist: RTL Design for Power Platform
 
Layer 7 Technologies: Web Services Hacking And Hardening
Layer 7 Technologies: Web Services Hacking And HardeningLayer 7 Technologies: Web Services Hacking And Hardening
Layer 7 Technologies: Web Services Hacking And Hardening
 
Power Management IC Overview
Power Management IC OverviewPower Management IC Overview
Power Management IC Overview
 
An overview of access control
An overview of access controlAn overview of access control
An overview of access control
 
The role of internet in marketing research and business decision making…
The role of internet in marketing research and business decision making…The role of internet in marketing research and business decision making…
The role of internet in marketing research and business decision making…
 
Supplier Enablement – How to Bring Suppliers to Ariba Network
Supplier Enablement – How to Bring Suppliers to Ariba NetworkSupplier Enablement – How to Bring Suppliers to Ariba Network
Supplier Enablement – How to Bring Suppliers to Ariba Network
 
Alumni management
Alumni managementAlumni management
Alumni management
 
Future of wire line access networks
Future of wire line access networksFuture of wire line access networks
Future of wire line access networks
 
Assetprotectionservices
AssetprotectionservicesAssetprotectionservices
Assetprotectionservices
 
AgilePM® V2 - Agile Project Management V2 - Foundation
AgilePM® V2 - Agile Project Management V2 - FoundationAgilePM® V2 - Agile Project Management V2 - Foundation
AgilePM® V2 - Agile Project Management V2 - Foundation
 
Smart Innovation Platform Flier - Grindstaff
Smart Innovation Platform Flier - GrindstaffSmart Innovation Platform Flier - Grindstaff
Smart Innovation Platform Flier - Grindstaff
 
Pretzelmaker Franchise Opportunity in Denver, Colorado
Pretzelmaker Franchise Opportunity in Denver, ColoradoPretzelmaker Franchise Opportunity in Denver, Colorado
Pretzelmaker Franchise Opportunity in Denver, Colorado
 
Digital learning ecosystem (multiple learners)
Digital learning ecosystem (multiple learners)Digital learning ecosystem (multiple learners)
Digital learning ecosystem (multiple learners)
 
Where the credit risk lies in letters of credit
Where the credit risk lies in letters of creditWhere the credit risk lies in letters of credit
Where the credit risk lies in letters of credit
 
GEPON Presentation
GEPON  PresentationGEPON  Presentation
GEPON Presentation
 
Exercise 7 animal tissues
Exercise 7   animal tissuesExercise 7   animal tissues
Exercise 7 animal tissues
 
Digital Marketing 101 - Interactive Training
Digital Marketing 101 - Interactive TrainingDigital Marketing 101 - Interactive Training
Digital Marketing 101 - Interactive Training
 

Similar to Totem Technologies for Analog, Memory, Mixed-Signal Designs

What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014Ansys
 
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Ansys
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overviewNabil Chouba
 
hyperlynx_compress.pdf
hyperlynx_compress.pdfhyperlynx_compress.pdf
hyperlynx_compress.pdfraimonribal
 
My profile
My profileMy profile
My profiledhruv_63
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan kumar
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Arvind Kumar
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan kumar
 
MIPI DevCon 2016: Image Sensor and Display Connectivity Disruption
MIPI DevCon 2016: Image Sensor and Display Connectivity DisruptionMIPI DevCon 2016: Image Sensor and Display Connectivity Disruption
MIPI DevCon 2016: Image Sensor and Display Connectivity DisruptionMIPI Alliance
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan kumar
 
Brochure (2016-01-30)
Brochure (2016-01-30)Brochure (2016-01-30)
Brochure (2016-01-30)Jonah McLeod
 
Motion Feedback 101: Select the Right Feedback for Your Application by Knowin...
Motion Feedback 101: Select the Right Feedback for Your Application by Knowin...Motion Feedback 101: Select the Right Feedback for Your Application by Knowin...
Motion Feedback 101: Select the Right Feedback for Your Application by Knowin...Design World
 
P9 addressing signal_integrity_ in_ew_2015_final
P9 addressing signal_integrity_ in_ew_2015_finalP9 addressing signal_integrity_ in_ew_2015_final
P9 addressing signal_integrity_ in_ew_2015_finalAamir Habib
 
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...Hany Fahmy
 
transforming-wireless-system-design-with-matlab-and-ni.pdf
transforming-wireless-system-design-with-matlab-and-ni.pdftransforming-wireless-system-design-with-matlab-and-ni.pdf
transforming-wireless-system-design-with-matlab-and-ni.pdfJunaidKhan188662
 
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...Nansen Chen
 
Keys to High Speed Interface Designs Today
Keys to High Speed Interface Designs TodayKeys to High Speed Interface Designs Today
Keys to High Speed Interface Designs TodayJonah_McLeod
 

Similar to Totem Technologies for Analog, Memory, Mixed-Signal Designs (20)

What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014What's New in ANSYS RedHawk 2014
What's New in ANSYS RedHawk 2014
 
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
Full DDR Bank Power and Signal Integrity Analysis with Chip-Package-System Co...
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
 
hyperlynx_compress.pdf
hyperlynx_compress.pdfhyperlynx_compress.pdf
hyperlynx_compress.pdf
 
My profile
My profileMy profile
My profile
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXP
 
Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions Pactron , Hardware, Board level & Manufacturing solutions
Pactron , Hardware, Board level & Manufacturing solutions
 
Ip so c-30sept2010
Ip so c-30sept2010Ip so c-30sept2010
Ip so c-30sept2010
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXP
 
MIPI DevCon 2016: Image Sensor and Display Connectivity Disruption
MIPI DevCon 2016: Image Sensor and Display Connectivity DisruptionMIPI DevCon 2016: Image Sensor and Display Connectivity Disruption
MIPI DevCon 2016: Image Sensor and Display Connectivity Disruption
 
Chandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXPChandan Kumar_3+_Years _EXP
Chandan Kumar_3+_Years _EXP
 
Brochure (2016-01-30)
Brochure (2016-01-30)Brochure (2016-01-30)
Brochure (2016-01-30)
 
Motion Feedback 101: Select the Right Feedback for Your Application by Knowin...
Motion Feedback 101: Select the Right Feedback for Your Application by Knowin...Motion Feedback 101: Select the Right Feedback for Your Application by Knowin...
Motion Feedback 101: Select the Right Feedback for Your Application by Knowin...
 
P9 addressing signal_integrity_ in_ew_2015_final
P9 addressing signal_integrity_ in_ew_2015_finalP9 addressing signal_integrity_ in_ew_2015_final
P9 addressing signal_integrity_ in_ew_2015_final
 
Michael Vogwell
Michael VogwellMichael Vogwell
Michael Vogwell
 
ATE boards designs
ATE boards designsATE boards designs
ATE boards designs
 
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...
Web cast-a day-in_the_life_of_a_hsd_nov_5th_2012_final_al_hamdu_ll_allah__hsd...
 
transforming-wireless-system-design-with-matlab-and-ni.pdf
transforming-wireless-system-design-with-matlab-and-ni.pdftransforming-wireless-system-design-with-matlab-and-ni.pdf
transforming-wireless-system-design-with-matlab-and-ni.pdf
 
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...
Run Simulations and Then Become An Inventor (Best Paper Award in CDNLive Taiw...
 
Keys to High Speed Interface Designs Today
Keys to High Speed Interface Designs TodayKeys to High Speed Interface Designs Today
Keys to High Speed Interface Designs Today
 

More from Ansys

Reliability Engineering Services Overview
Reliability Engineering Services OverviewReliability Engineering Services Overview
Reliability Engineering Services OverviewAnsys
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAnsys
 
Mechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsMechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsAnsys
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAnsys
 
Automotive Sensor Simulation
Automotive Sensor SimulationAutomotive Sensor Simulation
Automotive Sensor SimulationAnsys
 
Volvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementVolvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementAnsys
 
Molex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysMolex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysAnsys
 
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesAnsys
 
Benefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationBenefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationAnsys
 
6 Myths of High-Performance Computing
6 Myths of High-Performance Computing6 Myths of High-Performance Computing
6 Myths of High-Performance ComputingAnsys
 
ANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureAnsys
 
ANSYS Corporate Overview
ANSYS Corporate OverviewANSYS Corporate Overview
ANSYS Corporate OverviewAnsys
 
Thermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsThermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsAnsys
 
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsModeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsAnsys
 
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectSolving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectAnsys
 
ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3Ansys
 
Advances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAdvances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAnsys
 
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Ansys
 
Automotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case StudyAutomotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case StudyAnsys
 
How to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph SoftwareHow to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph SoftwareAnsys
 

More from Ansys (20)

Reliability Engineering Services Overview
Reliability Engineering Services OverviewReliability Engineering Services Overview
Reliability Engineering Services Overview
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
 
Mechanical Simulations for Electronic Products
Mechanical Simulations for Electronic ProductsMechanical Simulations for Electronic Products
Mechanical Simulations for Electronic Products
 
Accelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled SimulationsAccelerating Innovation Through HPC-Enabled Simulations
Accelerating Innovation Through HPC-Enabled Simulations
 
Automotive Sensor Simulation
Automotive Sensor SimulationAutomotive Sensor Simulation
Automotive Sensor Simulation
 
Volvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementVolvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna Placement
 
Molex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using AnsysMolex Automotive Connector Simulation Using Ansys
Molex Automotive Connector Simulation Using Ansys
 
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft VehiclesANSYS SCADE Usage for Unmanned Aircraft Vehicles
ANSYS SCADE Usage for Unmanned Aircraft Vehicles
 
Benefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering SimulationBenefits of Intel Technologies for Engineering Simulation
Benefits of Intel Technologies for Engineering Simulation
 
6 Myths of High-Performance Computing
6 Myths of High-Performance Computing6 Myths of High-Performance Computing
6 Myths of High-Performance Computing
 
ANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone FailureANSYS USERMAT for Prediction of Bone Failure
ANSYS USERMAT for Prediction of Bone Failure
 
ANSYS Corporate Overview
ANSYS Corporate OverviewANSYS Corporate Overview
ANSYS Corporate Overview
 
Thermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based DesignsThermal Reliability for FinFET based Designs
Thermal Reliability for FinFET based Designs
 
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate MaterialsModeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
Modeling a Magnetic Stirrer Coupling for the Dispersion of Particulate Materials
 
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV ProjectSolving 3-D Printing Design Problems with ANSYS CFD for UAV Project
Solving 3-D Printing Design Problems with ANSYS CFD for UAV Project
 
ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3ANSYS Performance on Xeon E5-2600 v3
ANSYS Performance on Xeon E5-2600 v3
 
Advances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD SimulationAdvances in Accelerator-based CFD Simulation
Advances in Accelerator-based CFD Simulation
 
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
Use of FEA to Improve the Design of Suspension Springs for Reciprocating Comp...
 
Automotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case StudyAutomotive Electrostatic Discharge Case Study
Automotive Electrostatic Discharge Case Study
 
How to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph SoftwareHow to Boost ANSYS Fluent Adjoint Using RBF Morph Software
How to Boost ANSYS Fluent Adjoint Using RBF Morph Software
 

Recently uploaded

Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdfKamal Acharya
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINESIVASHANKAR N
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Call Girls in Nagpur High Profile
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
UNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular ConduitsUNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular Conduitsrknatarajan
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...ranjana rawat
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performancesivaprakash250
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Bookingdharasingh5698
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesPrabhanshu Chaturvedi
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingrknatarajan
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlysanyuktamishra911
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 

Recently uploaded (20)

Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINEMANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
MANUFACTURING PROCESS-II UNIT-2 LATHE MACHINE
 
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...Top Rated  Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
Top Rated Pune Call Girls Budhwar Peth ⟟ 6297143586 ⟟ Call Me For Genuine Se...
 
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur EscortsCall Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
Call Girls Service Nagpur Tanvi Call 7001035870 Meet With Nagpur Escorts
 
UNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular ConduitsUNIT-II FMM-Flow Through Circular Conduits
UNIT-II FMM-Flow Through Circular Conduits
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
Call Girls in Nagpur Suman Call 7001035870 Meet With Nagpur Escorts
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
 
Glass Ceramics: Processing and Properties
Glass Ceramics: Processing and PropertiesGlass Ceramics: Processing and Properties
Glass Ceramics: Processing and Properties
 
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and workingUNIT-V FMM.HYDRAULIC TURBINE - Construction and working
UNIT-V FMM.HYDRAULIC TURBINE - Construction and working
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 

Totem Technologies for Analog, Memory, Mixed-Signal Designs

  • 1. 6/23/2014 © 2014 ANSYS, Inc. 1 Totem™ Technologies for Analog / Memory / Mixed-Signal Designs Design Automation Conference 2014
  • 2. 6/23/2014 © 2014 ANSYS, Inc. 2 Analog Mixed-Signal Trends & Challenges Technology Scaling High Analog + Digital Integration Increasing Sensor/IP usage Capacity Tighter Reliability Margins Concurrent Analog +Digital Analysis Sensor/IP Validation and Modeling >$60B Mobile Computing Automotive Electronics IoT/Connected Devices Key Market Drivers Technology Trends Challenges  Analog IC market expected to grow to $60B by 2015  Transition from discrete analog to analog subsystems  Complex verification and integration challenges
  • 3. 6/23/2014 © 2014 ANSYS, Inc. 3 Totem: ‘Power-Noise-Reliability’ Platform SRAM Flash DRAM IO (DDR, SerDes) Custom Digital Analog/RF
  • 4. 6/23/2014 © 2014 ANSYS, Inc. 4 Totem: Core Technologies Analysis Modeling • Support for complex analog and mixed signal designs • Transient analysis with ps resolution • EM/ESD analysis for advanced technology nodes • Transistor-level macro models • Multi-cycle Multi-mode models • Model roll up to SoC CMM Totem RedHawk Extraction • On-chip PG RLC + Substrate RC extraction • EM aware extraction
  • 5. 6/23/2014 © 2014 ANSYS, Inc. 5 Design Modeling PG Extraction + Simulation Totem Analysis Flow GDSII Vector Simulation Signal Only Net-list Totem Internal Model GUI Based Debug Layout Annotated Results
  • 6. 6/23/2014 © 2014 ANSYS, Inc. 6 Grid Weakness Check Resistance Bottleneck Grid Weakness View Layout View Missing VIA3 Missing VIA2 Short Path Trace • Grid weakness map is the normalized resistance of all transistor pins in the design • Highlight weakly connected transistors
  • 7. 6/23/2014 © 2014 ANSYS, Inc. 7 Case Study: Analog IP Analog Supply IR Map Metal3 strap not extended Layout View Gross Connectivity Issue Early layout analysis helps catch gross grid violations
  • 8. 6/23/2014 © 2014 ANSYS, Inc. 8 Dynamic Voltage Drop • Worst IR drop of transistor pins shown • Cross probe a list of transistor pins with the layout • Plot the currents/voltage of all transistors based on list Transistor Pin IR Drop View Transistor Pin Cross-Probe
  • 9. 6/23/2014 © 2014 ANSYS, Inc. 9 Substrate Noise Coupling Digital Core PLL Memories IN OUT Digital Core PLL/Analog block Device Threshold voltage modulation Level Functionality / performance issues in Analog & RF circuits Circuit Level Preventing integration of sensitive circuits System Level analog CPU Check/Sign-off Full-chip Noise PLL guard ring noise source victim Plan/Analyze Isolation Structures Check Noise Impact on Delay
  • 10. 6/23/2014 © 2014 ANSYS, Inc. 10 Chip Image Sensors: Key Challenges Substrate
  • 11. 6/23/2014 © 2014 ANSYS, Inc. 11 Chip Image Sensors: Key Challenges Substrate Digital Logic (Interface, Timing, Processing Output) ADC Analog to Digital Conversion Pixel Array Analog Signal Processing Substrate Noise Coupling • Digital Switching Noise • PG Grid Coupling • Substrate Noise Coupling
  • 12. 6/23/2014 © 2014 ANSYS, Inc. 12 Chip Image Sensors: Key Challenges Power Noise Analysis • Digital Logic (Vectored/Vectorless) • Analog (Vectored) • Substrate Noise (Digital +Analog) Substrate Digital Logic (Interface, Timing, Processing Output) ADC Analog to Digital Conversion Pixel Array Analog Signal Processing Substrate Noise Coupling • Digital Switching Noise • PG Grid Coupling • Substrate Noise Coupling
  • 13. 6/23/2014 © 2014 ANSYS, Inc. 13 BJT Poly R/TiN Metal R Mim/Mom Caps Diodes RF/Analog Design Support for Complex Structures BJT Device : Entire PNP Structure Emitter Base Collector Pdiff Ndiff BJT marker Layer
  • 14. 6/23/2014 © 2014 ANSYS, Inc. 14 Case Study: RF Analog Power EM Schematic of RF/Analog Part of Chip Narrow M2 straps carrying significant current causing EM violation M3/M2/M1 Layout View M3/M2/M1 EM Map
  • 15. 6/23/2014 © 2014 ANSYS, Inc. 15 Memory and Custom Digital Designs Complete Sign-off Flow Coverage/ Weakness Detection Sign-off Power Analysis Static DC Vectored Multi Cycle Multi State Signal EM Analysis VectorLess Transient Vectored Multi Cycle Multi State
  • 16. 6/23/2014 © 2014 ANSYS, Inc. 16 Large FPGA Chip Small Design Large Design Node Count 14M 321M Transistor Count 7M 228M Run Time 39mins 20h22m • Full-chip capacity • Large DRAM memories • Large FLASH memories • Embedded Big Analog, Small Digital blocks FPGA Faric with rows of SRAM, DSP blocks System Controller DDR Memory and Other IP Blocks IO IO Large Design Handling
  • 17. 6/23/2014 © 2014 ANSYS, Inc. 17 IP Modeling and Sign-off for SoC IP/Block Design Sign-off IP/Block IR, DvD, EM, ESD Sign-off Totem IP Enabled Full Chip Sign-off Full-chip DvD/EM/ESD Sign-off IP Boundary condition Sign-off RedHawk Model Creation Embedd ed Constrai nts IP Protection Physical + Electrical
  • 18. 6/23/2014 © 2014 ANSYS, Inc. 18 Case Study: IP Modeling Xtor Level Voltage Drop of Mem1(WRITE) Xtor Level Voltage Drop of Mem2(READ) Worst xtor drop ~ 210mV Worst xtor drop ~ 130mV Mem1 Mem2 Two instantiations of same memory macro Instance Level Voltage Drop Map SoC instantiating the hierarchical models generated using Totem
  • 19. 6/23/2014 © 2014 ANSYS, Inc. 19 Totem: Power-Noise-Reliability Custom, Analog & RF Designs Noise Coupling Coverage Reliability Usability IR Drop DvD Substrate Noise Power EM Signal EM ESD Mem/SRAM DDR/Serdes TCAM/DRAM Analog/RF Versatile GUI Layout Based Full-Chip Capacity Coverage Noise Reliability Usability