SlideShare a Scribd company logo
Building Fast and Secure Chips with CXL IP
Sr Product Manger for PCIe and CXL Controller IP
Memory Fabric Forum 2024
Gary Ruggles
© 2023 Synopsys, Inc. 2
Overview
• Example Use Case and Adoption for CXL
• Introduction to Synopsys CXL IP Solutions
• Interop and Proof Points
• Summary
Synopsys Confidential Information
© 2023 Synopsys, Inc. 3
CXL Enabled Memory Expansion – An Exciting Use Case
One Interface Can Enable Multiple Types Of Memory
SoC
DDR Controller
DDR DIMM
DDR
DDR Controller
DDR DIMM
DDR
SoC
DDR Controller
DDR DIMM
DDR
DDR Controller
DDR DIMM
DDR
CXL
CXL
CXL
CXL
CXL CXL
CXL CXL
CXL Memory/Storage
CXL Memory/Storage
CXL Memory/Storage
CXL Memory/Storage
CXL
CXL
CXL
CXL
CXL
Memory/
Storage
CXL
Memory/
Storage
CXL
Memory/
Storage
CXL
Memory/
Storage
CXL
CXL
CXL
CXL
Memory controllers move
outside for CXL Links
Parallel DDR Interfaces:
1 or 2 DIMMS per channel
Multi-lane Serial CXL Interfaces
connected to various types of
memory/storage:
• DDR
• LPDDR
• Persistent Memory
CXL enables media independence
with a single interface:
DDR3/4/5, LPDDR 3/4/5,
Persistent Memory/Storage
© 2023 Synopsys, Inc. 4
CXL Use and Deployment is Exploding!
Cumulative Licenses Based on Synopsys Fiscal Years
• Growth is accelerating
• Over 130 CXL Controllers
and PHYs (32G/64G)
• Includes > 20 CXL 3.0
• Includes > 20 with IDE for
CXL 2.0 & 3.0
2020 2021 2022 2023
Cumulative CXL Licenses by Year
© 2023 Synopsys, Inc. 5
• Complete CXL IP solution is built on Synopsys' silicon-
proven PCI Express 5.0 IP, lowering integration risk for
device and host applications
• 512-bit CXL controller enables a highly efficient x16 link for
maximum bandwidth with extremely low latency
• Silicon-proven 32 GT/s PHY allows for more than 36 dB
channel loss across PVT variations for long reach
applications
• VC Verification IP for CXL verifies I/O, memory access, and
coherency protocol features for all link configurations up to
16 lanes and 32 GT/s data rates
• Supports the CXL 2.0 specification, with full backwards
compatibility to 1.1 and supporting all required CXL
protocols and device types
CXL IP Delivers Low Latency and High Bandwidth for AI, Memory Expansion, & Cloud Applications
Synopsys Delivers Industry's First CXL IP Solution for Breakthrough
Performance in Data-Intensive SoCs
Dr. Debendra Das Sharma
Intel Fellow and director of I/O Technology and Standards at Intel
“CXL is a key enabler for next-generation
heterogeneous computing architectures, where
CPUs and accelerators work together to deliver the
most advanced solutions. With support from
leading IP providers like Synopsys, we're well on
the way to a robust, innovative CXL ecosystem
that will benefit the whole industry."
CXL_014
© 2023 Synopsys, Inc. 6
Complete CXL IP 2.0/3.0 Solution
Controller + IDE, PHY, VIP Optimized for Low Latency, Small Area and Low Power
• Compliant with CXL 3.1, 2.0 & CXL 1.1 specifications providing cache coherency
and heterogenous computing for high-bandwidth workloads
• Available now with optional CXL 2.0/3.1 IDE support
• CXL Controllers with support for PCIe 5.0/6.1
– Comprehensive solution w/support for Device Host and Switch Port Modes
– Low risk CXL.io built from Synopsys silicon proven PCIe 5.0/6.1 controller
– High-bandwidth 128b/256b/512b/1024b data paths achieving low-latency performance in
x16, x8, x4 and x2 links @ up to 64 GT/s per lane
– Configurable support for Type 1, 2, 3 devices (CXL.io, CXL.mem, CXL.cache)
– Easily interfaces to on-chip bus using Native or AMBA (including CXS)
– Supports CCIX over CXL, proven in multiple customer designs
• Utilizes Silicon-proven PCIe 5.0/6.0 PHYs in multiple foundries
• Proven CXL and PCIe Interoperability with Major Host CPUs, including Intel
Sapphire Rapids
• Features targeting advanced Arm based designs, including LTI, MSI-GIC and
Arm Confidential Compute Architecture
• Industry’s First 2-party Interop and Public Compliance Demo of CXL 2.0 with
Teledyne LeCroy Excerciser/Analyzer
CXL_017
> 120 CXL Licenses to date
CXL Controller IP
Host
Device
CXL IP Prototyping Kits
IP Subsystems
PCIe 5.0/6.0 PHY IP
CXL Verification IP
DM
Switch Port
CXL IDE
Security
Module
© 2023 Synopsys, Inc. 7
Synopsys PCIe & CXL IDE Security Modules Protect HPC Data
Pre-verified Integrity & Data Encryption with Synopsys Controller IP
• High performance, low latency solutions meet cloud computing
demands
• Reduce risk with standards-compliant security modules
– Efficient data encryption/decryption/authentication based on
AES-GCM
– Compliant with latest specifications releases
• Fast time-to-market with plug-and-play solutions
– Seamless integration with Synopsys PCIe & CXL controllers
– Support controllers’ data bus widths and clock configurations
• Proven in 70+ design wins
• Protect against data tampering and physical attacks across
PCIe 5.0, PCIe 6.0 and CXL 2.0, CXL 3.0 interfaces
© 2023 Synopsys, Inc. 8
CXL Controller Interface: PCIe 6.0 (CXL.io) + CXL.cache/mem
Synopsys IP Supports Two Options: Synopsys Native CXS Interface and CXS
CXL Controller with Native Interface CXL Controller with CXS Interface
• CXL access via CXS.B
• Direct Plug-in for CMN-700 (Kampos)
and beyond
• CCIX over CXL (CCIX 2.0)
• A configuration option for all
Synopsys CXL AMBA Controllers
• Interface mix and match options:
• Native CXL.io + Native CXL.cm
• Native CXL.io + CXS CXL.cm
• AXI CXL.io + Native CXL.cm
• AXI CXL.io + CXS CXL.cm
Synopsys PCIe Gen5/Gen6 PHY
Logical PHY
Application Logic
PIPE 5.x/6.x
Synopsys
CXL 2.0/3.0
Controller
CXL.io (PCIe)
CXL.cache
ARB MUX
PCIe 5.0/6.0 Controller +
Enhancements = CXL.io
Enhancements
Application layer
Transaction layer
Link layer
CXL.cache/mem
Transaction layer
Link layer
CXL.mem
Synopsys PCIe Gen5/Gen6 PHY
Logical PHY
Arm CMN-700 (Kampos)
Coherent Mesh Network
PIPE 5.x/6.x
Synopsys
CXL 2.0/3.0
Controller
CXL.io (PCIe) AXI
CXS
ARB MUX
PCIe 5.0/6.0 Controller +
Enhancements = CXL.io
Enhancements
Application layer
Transaction layer
Link layer
CXL.cache/mem
CXS Interface
Link layer
© 2023 Synopsys, Inc. 9
More Comprehensive Interop Testing
More Entries in PCI-SIG Integrators List
DWC_PCIe_009
DWC_PCIe_009
• Use HAPS-based prototyping kits for testing
• Use Teledyne LeCroy Analyzer & Exerciser for internal testing
• Same platform used for PCI-SIG Compliance testing
• Successfully interoperated with > 56 Endpoints and 22 RCs for PCIe
4.0 in PCI-SIG Pre-FYI and FYI testing
• Additional interop testing with Intel, Mellanox, Teledyne LeCroy,
Marvell and others for both PCIe 4.0 and PCIe 5.0
• PCIe 6.0 Now on the PCIe 5.0 Integrators List
– PCIe 6.0 PHY and Controllers (RC and EP)
• Passed PCIe 5.0 compliance: 4 PHYs, EP and RC Controllers
– The most entries of any IP Vendor for PCIe 5.0, including CXL 2.0
– The first IP Vendor with Host IP on the 5.0 Integrators List!
Synopsys PCIe 5.0 Solution with HAPS-
80 IPKs testing with Teledyne LeCroy
Synopsys PCIe 6.0 End-to-End Solution
with HAPS-100 IPKs
© 2023 Synopsys, Inc. 10
CXL 2.0 Interop Demonstrations
x4 Link
Industry’s First 2-party Interop and Public Compliance
Demo of CXL 2.0
Tests Performed with
Synopsys End-to-End System
Gen3x4 and Gen3x8
• Alt protocol negotiation
• CXL.io L0 link up
• CXL.io transaction flits
• CXL.cache compliance test algorithm 1a
Interop Tests performed
with Intel Sapphire Rapids
Gen3x8
• Alt protocol negotiation
• CXL.io L0 link up
• CXL.io transaction flits
• CXL.cache compliance test algorithm 1a
End to End Synopsys Solution and Interop with Intel Sapphire Rapids
© 2023 Synopsys, Inc. 11
© 2023 Synopsys, Inc. 11
Synopsys and XConn - 256 Lane CXL 2.0 Switch
• Achieved first-pass silicon
success with silicon-
proven CXL and PCIe IP
• Integrates 256 lanes of
Synopsys PCIe 5 PHY
and CXL 2.0 controller in
a monolithic die
• Low latency CXL
implementation
• Met critical 1GHz timing
closure, low latency,
power and performance
requirements
World’s First CXL 2.0 Switch SoC, Highest Capacity In The Market
© 2023 Synopsys, Inc. 12
Industry First 64GT/s Link-up – Synopsys and Keysight
PCIe 6.0 is incorporated into CXL 3.0 as CXL.io
• January 27, 2023
• Hillsboro, Oregon Synopsys lab
• Clean link-up to 64 GT/s L0, no trips to
RCVRY
PCIe_Proof_013
© 2023 Synopsys, Inc. 13
NEW
Image or
article goes
here
Synopsys Demonstrates Industry’s First Interoperability of
PCI Express 6.0 IP with Intel’s PCIe 6.0 Test Chip
• Synopsys and Intel achieved end-to-end
64 GT/s interop between Synopsys PCIe 6.0 IP and
Intel PCIe 6.0-enabled test chip
• Validates that PCIe 6.0 solutions from Synopsys or
Intel interoperate with the ecosystem, reducing
design and integration risk
• Demonstrates the robustness of Synopsys IP for
PCIe 6.0, which has >30 design wins
Joint 64 GT/s Demo Reduces Integration Risk for Advanced High-Performance Computing SoCs
Debendra Das Sharma
Senior Fellow and co-GM of Memory and I/O
Technologies at Intel Corporation
Intel’s close collaboration with Synopsys, a
leading PCIe IP provider, has once again
resulted in successful interoperability using
the latest PCIe standard.
PCIe_Proof_012
© 2023 Synopsys, Inc. 14
Synopsys PCIe 6.0 Solution on Integrators List
For Both Root Complex & End Point
The only PCIe 6.0 Solution in PCI-SIG RC/EP Integrators List
Compliance ensures first
pass interoperability with
existing Gen 5 systems
Only PCIe 6.0 Solution to
demonstrate End to End
FLIT transfer & rate changes
Only PCIe 6.0 solution to
demonstrate multi vendor
Interoperability
© 2023 Synopsys, Inc. 15
Synopsys CXL 3.0/3.1 Feature Support
Synopsys Confidential Information
© 2023 Synopsys, Inc. 16
Synopsys CXL 3.0 Feature Support
(includes Full-featured PCIe 6.1 support)
CXL_040
Feature Support for CXL 3.0
1024b/512b/256b/128b PCIe datapath Architectures
Synopsys Native CXL Interface support
AXI (CXL.io) + CXS Interface support
256 Byte Standard Flit support
256 Byte Latency Optimized Flit support
Device/Host/DM Support
L0p support in CXL 3.0 mode
Multiple Logic Devices (MLD)
CXL Back Invalidate
CXL Cache Scaling
Switch Port support: HBR and PBR
PBR support for EP (GFAM)
IDE for CXL 3.0 Native and CXS Interfaces
UIO Direct P2P to HDM
© 2023 Synopsys, Inc. 17
Synopsys CXL 3.1 Feature support
New Features Supported for CXL 3.1
Support for Applicable CXL 3.1 Errata
Direct P2P CXL.mem
TSP
Extended Meta-Data Trailers
Header Logging
© 2023 Synopsys, Inc. 18
Summary
A comprehensive, proven CXL portfolio with advanced features and performance
• The broadest CXL IP Portfolio
– Controllers covering CXL 2.0 and CXL 3.0/3.1, including broad coverage of PCIe 6.0 features and CNs
– PHYs in many foundries for both CXL 2.0 (32G) and CXL 3.0 (64G)
• The Lowest Risk solution
– Synopsys was invited to be the only “Early Contributor” for CXL resulting in early spec access and the most mature CXL controller
– Synopsys already has over 120 CXL licenses, and more than 350 PCIe 5.0 licenses, with many customers already in silicon
– Over 50 PCIe 6 licenses, including over 20 CXL 3.0/3.1
– Multiple licenses for CXL 3.0 solution, including IDE for both CXL 2.0 and CXL 3.0
• Complete solution for CXL 2.0/3.0 + PHYs + IDE + VIP, including Si-proven 32G and 64G PHYs with broad foundry support
• Support for Device, Host and Dual-Mode configurations, and SW Port for CXL 2.0/CXL 3.0
• Extremely low latency CXL solution
• Customer-Proven 1 GHz timing closure to facilitate 32GT/s using 32b PIPE and 64GT/s using 64b PIPE
• Optimized datapath architectures supporting x2 to x16 lane widths
• Powerful coreConsultant configuration tool to explore architectural tradeoffs at your Desk to create optimized solution; adjust
parameters and see simulation results in minutes
• Leveraging industry’s most advanced RAS-DES with extensions to cover CXL features and simplify debug & software
development
• Flexible interface support for AMBA or optimized low-latency Native interface
• Supporting advanced Arm based SoC features like LTI, MSI-GIC and Arm Confidential Compute Architecture (CCA)
CXL_025
© 2023 Synopsys, Inc. 19
How to Find Out More about Synopsys CXL IP Solutions
• For more information about Synopsys CXL Solutions contact to your Synopsys
Sales representative or FAE
• If you are not sure about your Sales Rep or FAE, please contact
Gary Ruggles
ruggles@synopsys.com
Synopsys Confidential Information
Thank You
Synopsys Confidential Information

More Related Content

What's hot

What's hot (20)

Microchip: CXL Use Cases and Enabling Ecosystem
Microchip: CXL Use Cases and Enabling EcosystemMicrochip: CXL Use Cases and Enabling Ecosystem
Microchip: CXL Use Cases and Enabling Ecosystem
 
PCI Express* based Storage: Data Center NVM Express* Platform Topologies
PCI Express* based Storage: Data Center NVM Express* Platform TopologiesPCI Express* based Storage: Data Center NVM Express* Platform Topologies
PCI Express* based Storage: Data Center NVM Express* Platform Topologies
 
Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...
Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...
Micron: Memory Expansion with CXL Modules: Benefits, Use Cases and Enriching ...
 
Q1 Memory Fabric Forum: SMART CXL Product Lineup
Q1 Memory Fabric Forum: SMART CXL Product LineupQ1 Memory Fabric Forum: SMART CXL Product Lineup
Q1 Memory Fabric Forum: SMART CXL Product Lineup
 
The State of CXL-related Activities within OCP
The State of CXL-related Activities within OCPThe State of CXL-related Activities within OCP
The State of CXL-related Activities within OCP
 
Broadcom PCIe & CXL Switches OCP Final.pptx
Broadcom PCIe & CXL Switches OCP Final.pptxBroadcom PCIe & CXL Switches OCP Final.pptx
Broadcom PCIe & CXL Switches OCP Final.pptx
 
Q1 Memory Fabric Forum: Big Memory Computing for AI
Q1 Memory Fabric Forum: Big Memory Computing for AIQ1 Memory Fabric Forum: Big Memory Computing for AI
Q1 Memory Fabric Forum: Big Memory Computing for AI
 
Q1 Memory Fabric Forum: XConn CXL Switches for AI
Q1 Memory Fabric Forum: XConn CXL Switches for AIQ1 Memory Fabric Forum: XConn CXL Switches for AI
Q1 Memory Fabric Forum: XConn CXL Switches for AI
 
Q1 Memory Fabric Forum: Compute Express Link (CXL) 3.1 Update
Q1 Memory Fabric Forum: Compute Express Link (CXL) 3.1 UpdateQ1 Memory Fabric Forum: Compute Express Link (CXL) 3.1 Update
Q1 Memory Fabric Forum: Compute Express Link (CXL) 3.1 Update
 
MemVerge: The Software Stack for CXL Environments
MemVerge: The Software Stack for CXL EnvironmentsMemVerge: The Software Stack for CXL Environments
MemVerge: The Software Stack for CXL Environments
 
CXL Memory Expansion, Pooling, Sharing, FAM Enablement, and Switching
CXL Memory Expansion, Pooling, Sharing, FAM Enablement, and SwitchingCXL Memory Expansion, Pooling, Sharing, FAM Enablement, and Switching
CXL Memory Expansion, Pooling, Sharing, FAM Enablement, and Switching
 
MemVerge: Past Present and Future of CXL
MemVerge: Past Present and Future of CXLMemVerge: Past Present and Future of CXL
MemVerge: Past Present and Future of CXL
 
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXLQ1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
Q1 Memory Fabric Forum: Memory Processor Interface 2023, Focus on CXL
 
Past Present and Future of CXL
Past Present and Future of CXLPast Present and Future of CXL
Past Present and Future of CXL
 
Enfabrica - Bridging the Network and Memory Worlds
Enfabrica - Bridging the Network and Memory WorldsEnfabrica - Bridging the Network and Memory Worlds
Enfabrica - Bridging the Network and Memory Worlds
 
44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick
44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick
44CON 2014 - Stupid PCIe Tricks, Joe Fitzpatrick
 
Shared Memory Centric Computing with CXL & OMI
Shared Memory Centric Computing with CXL & OMIShared Memory Centric Computing with CXL & OMI
Shared Memory Centric Computing with CXL & OMI
 
SMART Modular: Memory Solutions with CXL
SMART Modular: Memory Solutions with CXLSMART Modular: Memory Solutions with CXL
SMART Modular: Memory Solutions with CXL
 
Supermicro’s Universal GPU: Modular, Standards Based and Built for the Future
Supermicro’s Universal GPU: Modular, Standards Based and Built for the FutureSupermicro’s Universal GPU: Modular, Standards Based and Built for the Future
Supermicro’s Universal GPU: Modular, Standards Based and Built for the Future
 
AMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor ArchitectureAMD EPYC™ Microprocessor Architecture
AMD EPYC™ Microprocessor Architecture
 

Similar to Q1 Memory Fabric Forum: Building Fast and Secure Chips with CXL IP

Tarun Makwana's Resume
Tarun Makwana's ResumeTarun Makwana's Resume
Tarun Makwana's Resume
Tarun Makwana
 
Versal Premium ACAP for Network and Cloud Acceleration
Versal Premium ACAP for Network and Cloud AccelerationVersal Premium ACAP for Network and Cloud Acceleration
Versal Premium ACAP for Network and Cloud Acceleration
inside-BigData.com
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
Deepak Shankar
 

Similar to Q1 Memory Fabric Forum: Building Fast and Secure Chips with CXL IP (20)

Synopsys: Achieve First Pass Silicon Success with Synopsys CXL IP Solutions
Synopsys: Achieve First Pass Silicon Success with Synopsys CXL IP SolutionsSynopsys: Achieve First Pass Silicon Success with Synopsys CXL IP Solutions
Synopsys: Achieve First Pass Silicon Success with Synopsys CXL IP Solutions
 
HKG18-100K1 - George Grey: Opening Keynote
HKG18-100K1 - George Grey: Opening KeynoteHKG18-100K1 - George Grey: Opening Keynote
HKG18-100K1 - George Grey: Opening Keynote
 
Scale Up Performance with Intel® Development
Scale Up Performance with Intel® DevelopmentScale Up Performance with Intel® Development
Scale Up Performance with Intel® Development
 
Supermicro AI Pod that’s Super Simple, Super Scalable, and Super Affordable
Supermicro AI Pod that’s Super Simple, Super Scalable, and Super AffordableSupermicro AI Pod that’s Super Simple, Super Scalable, and Super Affordable
Supermicro AI Pod that’s Super Simple, Super Scalable, and Super Affordable
 
Netronome Corporate Brochure
Netronome Corporate BrochureNetronome Corporate Brochure
Netronome Corporate Brochure
 
Tarun Makwana's Resume
Tarun Makwana's ResumeTarun Makwana's Resume
Tarun Makwana's Resume
 
VEDLIoT at FPL'23_Accelerators for Heterogenous Computing in AIoT
VEDLIoT at FPL'23_Accelerators for Heterogenous Computing in AIoTVEDLIoT at FPL'23_Accelerators for Heterogenous Computing in AIoT
VEDLIoT at FPL'23_Accelerators for Heterogenous Computing in AIoT
 
Seminar Accelerating Business Using Microservices Architecture in Digital Age...
Seminar Accelerating Business Using Microservices Architecture in Digital Age...Seminar Accelerating Business Using Microservices Architecture in Digital Age...
Seminar Accelerating Business Using Microservices Architecture in Digital Age...
 
MSC Embedded Systems
MSC Embedded SystemsMSC Embedded Systems
MSC Embedded Systems
 
X13 Pre-Release Update featuring 4th Gen Intel® Xeon® Scalable Processors
X13 Pre-Release Update featuring 4th Gen Intel® Xeon® Scalable Processors X13 Pre-Release Update featuring 4th Gen Intel® Xeon® Scalable Processors
X13 Pre-Release Update featuring 4th Gen Intel® Xeon® Scalable Processors
 
Xilinx Data Center Strategy and CCIX
Xilinx Data Center Strategy and CCIXXilinx Data Center Strategy and CCIX
Xilinx Data Center Strategy and CCIX
 
Fujitsu World Tour 2017 - Compute Platform For The Digital World
Fujitsu World Tour 2017 - Compute Platform For The Digital WorldFujitsu World Tour 2017 - Compute Platform For The Digital World
Fujitsu World Tour 2017 - Compute Platform For The Digital World
 
Intel: Industry Enablement of IO Technologies
Intel: Industry Enablement of IO TechnologiesIntel: Industry Enablement of IO Technologies
Intel: Industry Enablement of IO Technologies
 
Versal Premium ACAP for Network and Cloud Acceleration
Versal Premium ACAP for Network and Cloud AccelerationVersal Premium ACAP for Network and Cloud Acceleration
Versal Premium ACAP for Network and Cloud Acceleration
 
Small Is the New Big
Small Is the New BigSmall Is the New Big
Small Is the New Big
 
Cisco Connect Halifax 2018 Compute infrastructure for a hybrid cloud ucs an...
Cisco Connect Halifax 2018   Compute infrastructure for a hybrid cloud ucs an...Cisco Connect Halifax 2018   Compute infrastructure for a hybrid cloud ucs an...
Cisco Connect Halifax 2018 Compute infrastructure for a hybrid cloud ucs an...
 
Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power Evaluating UCIe based multi-die SoC to meet timing and power
Evaluating UCIe based multi-die SoC to meet timing and power
 
ODSA Sub-Project Launch
 ODSA Sub-Project Launch ODSA Sub-Project Launch
ODSA Sub-Project Launch
 
ODSA Sub-Project Launch
ODSA Sub-Project LaunchODSA Sub-Project Launch
ODSA Sub-Project Launch
 
Modular by Design: Supermicro’s New Standards-Based Universal GPU Server
Modular by Design: Supermicro’s New Standards-Based Universal GPU ServerModular by Design: Supermicro’s New Standards-Based Universal GPU Server
Modular by Design: Supermicro’s New Standards-Based Universal GPU Server
 

More from Memory Fabric Forum

More from Memory Fabric Forum (20)

H3 Platform CXL Solution_Memory Fabric Forum.pptx
H3 Platform CXL Solution_Memory Fabric Forum.pptxH3 Platform CXL Solution_Memory Fabric Forum.pptx
H3 Platform CXL Solution_Memory Fabric Forum.pptx
 
Q1 Memory Fabric Forum: ZeroPoint. Remove the waste. Release the power.
Q1 Memory Fabric Forum: ZeroPoint. Remove the waste. Release the power.Q1 Memory Fabric Forum: ZeroPoint. Remove the waste. Release the power.
Q1 Memory Fabric Forum: ZeroPoint. Remove the waste. Release the power.
 
Q1 Memory Fabric Forum: Using CXL with AI Applications - Steve Scargall.pptx
Q1 Memory Fabric Forum: Using CXL with AI Applications - Steve Scargall.pptxQ1 Memory Fabric Forum: Using CXL with AI Applications - Steve Scargall.pptx
Q1 Memory Fabric Forum: Using CXL with AI Applications - Steve Scargall.pptx
 
Q1 Memory Fabric Forum: Memory expansion with CXL-Ready Systems and Devices
Q1 Memory Fabric Forum: Memory expansion with CXL-Ready Systems and DevicesQ1 Memory Fabric Forum: Memory expansion with CXL-Ready Systems and Devices
Q1 Memory Fabric Forum: Memory expansion with CXL-Ready Systems and Devices
 
Q1 Memory Fabric Forum: About MindShare Training
Q1 Memory Fabric Forum: About MindShare TrainingQ1 Memory Fabric Forum: About MindShare Training
Q1 Memory Fabric Forum: About MindShare Training
 
Q1 Memory Fabric Forum: CXL-Related Activities within OCP
Q1 Memory Fabric Forum: CXL-Related Activities within OCPQ1 Memory Fabric Forum: CXL-Related Activities within OCP
Q1 Memory Fabric Forum: CXL-Related Activities within OCP
 
Q1 Memory Fabric Forum: CXL Controller by Montage Technology
Q1 Memory Fabric Forum: CXL Controller by Montage TechnologyQ1 Memory Fabric Forum: CXL Controller by Montage Technology
Q1 Memory Fabric Forum: CXL Controller by Montage Technology
 
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin Labs
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin LabsQ1 Memory Fabric Forum: Teledyne LeCroy | Austin Labs
Q1 Memory Fabric Forum: Teledyne LeCroy | Austin Labs
 
Q1 Memory Fabric Forum: Breaking Through the Memory Wall
Q1 Memory Fabric Forum: Breaking Through the Memory WallQ1 Memory Fabric Forum: Breaking Through the Memory Wall
Q1 Memory Fabric Forum: Breaking Through the Memory Wall
 
Q1 Memory Fabric Forum: CXL Form Factor Primer
Q1 Memory Fabric Forum: CXL Form Factor PrimerQ1 Memory Fabric Forum: CXL Form Factor Primer
Q1 Memory Fabric Forum: CXL Form Factor Primer
 
Q1 Memory Fabric Forum: Memory Fabric in a Composable System
Q1 Memory Fabric Forum: Memory Fabric in a Composable SystemQ1 Memory Fabric Forum: Memory Fabric in a Composable System
Q1 Memory Fabric Forum: Memory Fabric in a Composable System
 
Q1 Memory Fabric Forum: Micron CXL-Compatible Memory Modules
Q1 Memory Fabric Forum: Micron CXL-Compatible Memory ModulesQ1 Memory Fabric Forum: Micron CXL-Compatible Memory Modules
Q1 Memory Fabric Forum: Micron CXL-Compatible Memory Modules
 
Q1 Memory Fabric Forum: Advantages of Optical CXL​ for Disaggregated Compute ...
Q1 Memory Fabric Forum: Advantages of Optical CXL​ for Disaggregated Compute ...Q1 Memory Fabric Forum: Advantages of Optical CXL​ for Disaggregated Compute ...
Q1 Memory Fabric Forum: Advantages of Optical CXL​ for Disaggregated Compute ...
 
Q1 Memory Fabric Forum: VMware Memory Vision
Q1 Memory Fabric Forum: VMware Memory VisionQ1 Memory Fabric Forum: VMware Memory Vision
Q1 Memory Fabric Forum: VMware Memory Vision
 
MemVerge: Memory Expansion Without Breaking the Budget
MemVerge: Memory Expansion Without Breaking the BudgetMemVerge: Memory Expansion Without Breaking the Budget
MemVerge: Memory Expansion Without Breaking the Budget
 
Micron - CXL Enabling New Pliability in the Modern Data Center.pptx
Micron - CXL Enabling New Pliability in the Modern Data Center.pptxMicron - CXL Enabling New Pliability in the Modern Data Center.pptx
Micron - CXL Enabling New Pliability in the Modern Data Center.pptx
 
Photowave Presentation Slides - 11.8.23.pptx
Photowave Presentation Slides - 11.8.23.pptxPhotowave Presentation Slides - 11.8.23.pptx
Photowave Presentation Slides - 11.8.23.pptx
 
TE Connectivity: Card Edge Interconnects
TE Connectivity: Card Edge InterconnectsTE Connectivity: Card Edge Interconnects
TE Connectivity: Card Edge Interconnects
 
Samsung: CMM-H Tiered Memory Solution with Built-in DRAM
Samsung: CMM-H Tiered Memory Solution with Built-in DRAMSamsung: CMM-H Tiered Memory Solution with Built-in DRAM
Samsung: CMM-H Tiered Memory Solution with Built-in DRAM
 
XConn: Scalable Memory Expansion and Sharing for AI Computing with CXL Switches
XConn: Scalable Memory Expansion and Sharing for AI Computing with CXL SwitchesXConn: Scalable Memory Expansion and Sharing for AI Computing with CXL Switches
XConn: Scalable Memory Expansion and Sharing for AI Computing with CXL Switches
 

Recently uploaded

Future Visions: Predictions to Guide and Time Tech Innovation, Peter Udo Diehl
Future Visions: Predictions to Guide and Time Tech Innovation, Peter Udo DiehlFuture Visions: Predictions to Guide and Time Tech Innovation, Peter Udo Diehl
Future Visions: Predictions to Guide and Time Tech Innovation, Peter Udo Diehl
Peter Udo Diehl
 
Search and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical FuturesSearch and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical Futures
Bhaskar Mitra
 

Recently uploaded (20)

Quantum Computing: Current Landscape and the Future Role of APIs
Quantum Computing: Current Landscape and the Future Role of APIsQuantum Computing: Current Landscape and the Future Role of APIs
Quantum Computing: Current Landscape and the Future Role of APIs
 
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...
 
PHP Frameworks: I want to break free (IPC Berlin 2024)
PHP Frameworks: I want to break free (IPC Berlin 2024)PHP Frameworks: I want to break free (IPC Berlin 2024)
PHP Frameworks: I want to break free (IPC Berlin 2024)
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
 
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdfFIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
 
Exploring UiPath Orchestrator API: updates and limits in 2024 🚀
Exploring UiPath Orchestrator API: updates and limits in 2024 🚀Exploring UiPath Orchestrator API: updates and limits in 2024 🚀
Exploring UiPath Orchestrator API: updates and limits in 2024 🚀
 
UiPath Test Automation using UiPath Test Suite series, part 1
UiPath Test Automation using UiPath Test Suite series, part 1UiPath Test Automation using UiPath Test Suite series, part 1
UiPath Test Automation using UiPath Test Suite series, part 1
 
Connector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a buttonConnector Corner: Automate dynamic content and events by pushing a button
Connector Corner: Automate dynamic content and events by pushing a button
 
Future Visions: Predictions to Guide and Time Tech Innovation, Peter Udo Diehl
Future Visions: Predictions to Guide and Time Tech Innovation, Peter Udo DiehlFuture Visions: Predictions to Guide and Time Tech Innovation, Peter Udo Diehl
Future Visions: Predictions to Guide and Time Tech Innovation, Peter Udo Diehl
 
The Future of Platform Engineering
The Future of Platform EngineeringThe Future of Platform Engineering
The Future of Platform Engineering
 
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
 
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
 
UiPath Test Automation using UiPath Test Suite series, part 2
UiPath Test Automation using UiPath Test Suite series, part 2UiPath Test Automation using UiPath Test Suite series, part 2
UiPath Test Automation using UiPath Test Suite series, part 2
 
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
 
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptxIOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
 
In-Depth Performance Testing Guide for IT Professionals
In-Depth Performance Testing Guide for IT ProfessionalsIn-Depth Performance Testing Guide for IT Professionals
In-Depth Performance Testing Guide for IT Professionals
 
When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...
 
Search and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical FuturesSearch and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical Futures
 
JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
 

Q1 Memory Fabric Forum: Building Fast and Secure Chips with CXL IP

  • 1. Building Fast and Secure Chips with CXL IP Sr Product Manger for PCIe and CXL Controller IP Memory Fabric Forum 2024 Gary Ruggles
  • 2. © 2023 Synopsys, Inc. 2 Overview • Example Use Case and Adoption for CXL • Introduction to Synopsys CXL IP Solutions • Interop and Proof Points • Summary Synopsys Confidential Information
  • 3. © 2023 Synopsys, Inc. 3 CXL Enabled Memory Expansion – An Exciting Use Case One Interface Can Enable Multiple Types Of Memory SoC DDR Controller DDR DIMM DDR DDR Controller DDR DIMM DDR SoC DDR Controller DDR DIMM DDR DDR Controller DDR DIMM DDR CXL CXL CXL CXL CXL CXL CXL CXL CXL Memory/Storage CXL Memory/Storage CXL Memory/Storage CXL Memory/Storage CXL CXL CXL CXL CXL Memory/ Storage CXL Memory/ Storage CXL Memory/ Storage CXL Memory/ Storage CXL CXL CXL CXL Memory controllers move outside for CXL Links Parallel DDR Interfaces: 1 or 2 DIMMS per channel Multi-lane Serial CXL Interfaces connected to various types of memory/storage: • DDR • LPDDR • Persistent Memory CXL enables media independence with a single interface: DDR3/4/5, LPDDR 3/4/5, Persistent Memory/Storage
  • 4. © 2023 Synopsys, Inc. 4 CXL Use and Deployment is Exploding! Cumulative Licenses Based on Synopsys Fiscal Years • Growth is accelerating • Over 130 CXL Controllers and PHYs (32G/64G) • Includes > 20 CXL 3.0 • Includes > 20 with IDE for CXL 2.0 & 3.0 2020 2021 2022 2023 Cumulative CXL Licenses by Year
  • 5. © 2023 Synopsys, Inc. 5 • Complete CXL IP solution is built on Synopsys' silicon- proven PCI Express 5.0 IP, lowering integration risk for device and host applications • 512-bit CXL controller enables a highly efficient x16 link for maximum bandwidth with extremely low latency • Silicon-proven 32 GT/s PHY allows for more than 36 dB channel loss across PVT variations for long reach applications • VC Verification IP for CXL verifies I/O, memory access, and coherency protocol features for all link configurations up to 16 lanes and 32 GT/s data rates • Supports the CXL 2.0 specification, with full backwards compatibility to 1.1 and supporting all required CXL protocols and device types CXL IP Delivers Low Latency and High Bandwidth for AI, Memory Expansion, & Cloud Applications Synopsys Delivers Industry's First CXL IP Solution for Breakthrough Performance in Data-Intensive SoCs Dr. Debendra Das Sharma Intel Fellow and director of I/O Technology and Standards at Intel “CXL is a key enabler for next-generation heterogeneous computing architectures, where CPUs and accelerators work together to deliver the most advanced solutions. With support from leading IP providers like Synopsys, we're well on the way to a robust, innovative CXL ecosystem that will benefit the whole industry." CXL_014
  • 6. © 2023 Synopsys, Inc. 6 Complete CXL IP 2.0/3.0 Solution Controller + IDE, PHY, VIP Optimized for Low Latency, Small Area and Low Power • Compliant with CXL 3.1, 2.0 & CXL 1.1 specifications providing cache coherency and heterogenous computing for high-bandwidth workloads • Available now with optional CXL 2.0/3.1 IDE support • CXL Controllers with support for PCIe 5.0/6.1 – Comprehensive solution w/support for Device Host and Switch Port Modes – Low risk CXL.io built from Synopsys silicon proven PCIe 5.0/6.1 controller – High-bandwidth 128b/256b/512b/1024b data paths achieving low-latency performance in x16, x8, x4 and x2 links @ up to 64 GT/s per lane – Configurable support for Type 1, 2, 3 devices (CXL.io, CXL.mem, CXL.cache) – Easily interfaces to on-chip bus using Native or AMBA (including CXS) – Supports CCIX over CXL, proven in multiple customer designs • Utilizes Silicon-proven PCIe 5.0/6.0 PHYs in multiple foundries • Proven CXL and PCIe Interoperability with Major Host CPUs, including Intel Sapphire Rapids • Features targeting advanced Arm based designs, including LTI, MSI-GIC and Arm Confidential Compute Architecture • Industry’s First 2-party Interop and Public Compliance Demo of CXL 2.0 with Teledyne LeCroy Excerciser/Analyzer CXL_017 > 120 CXL Licenses to date CXL Controller IP Host Device CXL IP Prototyping Kits IP Subsystems PCIe 5.0/6.0 PHY IP CXL Verification IP DM Switch Port CXL IDE Security Module
  • 7. © 2023 Synopsys, Inc. 7 Synopsys PCIe & CXL IDE Security Modules Protect HPC Data Pre-verified Integrity & Data Encryption with Synopsys Controller IP • High performance, low latency solutions meet cloud computing demands • Reduce risk with standards-compliant security modules – Efficient data encryption/decryption/authentication based on AES-GCM – Compliant with latest specifications releases • Fast time-to-market with plug-and-play solutions – Seamless integration with Synopsys PCIe & CXL controllers – Support controllers’ data bus widths and clock configurations • Proven in 70+ design wins • Protect against data tampering and physical attacks across PCIe 5.0, PCIe 6.0 and CXL 2.0, CXL 3.0 interfaces
  • 8. © 2023 Synopsys, Inc. 8 CXL Controller Interface: PCIe 6.0 (CXL.io) + CXL.cache/mem Synopsys IP Supports Two Options: Synopsys Native CXS Interface and CXS CXL Controller with Native Interface CXL Controller with CXS Interface • CXL access via CXS.B • Direct Plug-in for CMN-700 (Kampos) and beyond • CCIX over CXL (CCIX 2.0) • A configuration option for all Synopsys CXL AMBA Controllers • Interface mix and match options: • Native CXL.io + Native CXL.cm • Native CXL.io + CXS CXL.cm • AXI CXL.io + Native CXL.cm • AXI CXL.io + CXS CXL.cm Synopsys PCIe Gen5/Gen6 PHY Logical PHY Application Logic PIPE 5.x/6.x Synopsys CXL 2.0/3.0 Controller CXL.io (PCIe) CXL.cache ARB MUX PCIe 5.0/6.0 Controller + Enhancements = CXL.io Enhancements Application layer Transaction layer Link layer CXL.cache/mem Transaction layer Link layer CXL.mem Synopsys PCIe Gen5/Gen6 PHY Logical PHY Arm CMN-700 (Kampos) Coherent Mesh Network PIPE 5.x/6.x Synopsys CXL 2.0/3.0 Controller CXL.io (PCIe) AXI CXS ARB MUX PCIe 5.0/6.0 Controller + Enhancements = CXL.io Enhancements Application layer Transaction layer Link layer CXL.cache/mem CXS Interface Link layer
  • 9. © 2023 Synopsys, Inc. 9 More Comprehensive Interop Testing More Entries in PCI-SIG Integrators List DWC_PCIe_009 DWC_PCIe_009 • Use HAPS-based prototyping kits for testing • Use Teledyne LeCroy Analyzer & Exerciser for internal testing • Same platform used for PCI-SIG Compliance testing • Successfully interoperated with > 56 Endpoints and 22 RCs for PCIe 4.0 in PCI-SIG Pre-FYI and FYI testing • Additional interop testing with Intel, Mellanox, Teledyne LeCroy, Marvell and others for both PCIe 4.0 and PCIe 5.0 • PCIe 6.0 Now on the PCIe 5.0 Integrators List – PCIe 6.0 PHY and Controllers (RC and EP) • Passed PCIe 5.0 compliance: 4 PHYs, EP and RC Controllers – The most entries of any IP Vendor for PCIe 5.0, including CXL 2.0 – The first IP Vendor with Host IP on the 5.0 Integrators List! Synopsys PCIe 5.0 Solution with HAPS- 80 IPKs testing with Teledyne LeCroy Synopsys PCIe 6.0 End-to-End Solution with HAPS-100 IPKs
  • 10. © 2023 Synopsys, Inc. 10 CXL 2.0 Interop Demonstrations x4 Link Industry’s First 2-party Interop and Public Compliance Demo of CXL 2.0 Tests Performed with Synopsys End-to-End System Gen3x4 and Gen3x8 • Alt protocol negotiation • CXL.io L0 link up • CXL.io transaction flits • CXL.cache compliance test algorithm 1a Interop Tests performed with Intel Sapphire Rapids Gen3x8 • Alt protocol negotiation • CXL.io L0 link up • CXL.io transaction flits • CXL.cache compliance test algorithm 1a End to End Synopsys Solution and Interop with Intel Sapphire Rapids
  • 11. © 2023 Synopsys, Inc. 11 © 2023 Synopsys, Inc. 11 Synopsys and XConn - 256 Lane CXL 2.0 Switch • Achieved first-pass silicon success with silicon- proven CXL and PCIe IP • Integrates 256 lanes of Synopsys PCIe 5 PHY and CXL 2.0 controller in a monolithic die • Low latency CXL implementation • Met critical 1GHz timing closure, low latency, power and performance requirements World’s First CXL 2.0 Switch SoC, Highest Capacity In The Market
  • 12. © 2023 Synopsys, Inc. 12 Industry First 64GT/s Link-up – Synopsys and Keysight PCIe 6.0 is incorporated into CXL 3.0 as CXL.io • January 27, 2023 • Hillsboro, Oregon Synopsys lab • Clean link-up to 64 GT/s L0, no trips to RCVRY PCIe_Proof_013
  • 13. © 2023 Synopsys, Inc. 13 NEW Image or article goes here Synopsys Demonstrates Industry’s First Interoperability of PCI Express 6.0 IP with Intel’s PCIe 6.0 Test Chip • Synopsys and Intel achieved end-to-end 64 GT/s interop between Synopsys PCIe 6.0 IP and Intel PCIe 6.0-enabled test chip • Validates that PCIe 6.0 solutions from Synopsys or Intel interoperate with the ecosystem, reducing design and integration risk • Demonstrates the robustness of Synopsys IP for PCIe 6.0, which has >30 design wins Joint 64 GT/s Demo Reduces Integration Risk for Advanced High-Performance Computing SoCs Debendra Das Sharma Senior Fellow and co-GM of Memory and I/O Technologies at Intel Corporation Intel’s close collaboration with Synopsys, a leading PCIe IP provider, has once again resulted in successful interoperability using the latest PCIe standard. PCIe_Proof_012
  • 14. © 2023 Synopsys, Inc. 14 Synopsys PCIe 6.0 Solution on Integrators List For Both Root Complex & End Point The only PCIe 6.0 Solution in PCI-SIG RC/EP Integrators List Compliance ensures first pass interoperability with existing Gen 5 systems Only PCIe 6.0 Solution to demonstrate End to End FLIT transfer & rate changes Only PCIe 6.0 solution to demonstrate multi vendor Interoperability
  • 15. © 2023 Synopsys, Inc. 15 Synopsys CXL 3.0/3.1 Feature Support Synopsys Confidential Information
  • 16. © 2023 Synopsys, Inc. 16 Synopsys CXL 3.0 Feature Support (includes Full-featured PCIe 6.1 support) CXL_040 Feature Support for CXL 3.0 1024b/512b/256b/128b PCIe datapath Architectures Synopsys Native CXL Interface support AXI (CXL.io) + CXS Interface support 256 Byte Standard Flit support 256 Byte Latency Optimized Flit support Device/Host/DM Support L0p support in CXL 3.0 mode Multiple Logic Devices (MLD) CXL Back Invalidate CXL Cache Scaling Switch Port support: HBR and PBR PBR support for EP (GFAM) IDE for CXL 3.0 Native and CXS Interfaces UIO Direct P2P to HDM
  • 17. © 2023 Synopsys, Inc. 17 Synopsys CXL 3.1 Feature support New Features Supported for CXL 3.1 Support for Applicable CXL 3.1 Errata Direct P2P CXL.mem TSP Extended Meta-Data Trailers Header Logging
  • 18. © 2023 Synopsys, Inc. 18 Summary A comprehensive, proven CXL portfolio with advanced features and performance • The broadest CXL IP Portfolio – Controllers covering CXL 2.0 and CXL 3.0/3.1, including broad coverage of PCIe 6.0 features and CNs – PHYs in many foundries for both CXL 2.0 (32G) and CXL 3.0 (64G) • The Lowest Risk solution – Synopsys was invited to be the only “Early Contributor” for CXL resulting in early spec access and the most mature CXL controller – Synopsys already has over 120 CXL licenses, and more than 350 PCIe 5.0 licenses, with many customers already in silicon – Over 50 PCIe 6 licenses, including over 20 CXL 3.0/3.1 – Multiple licenses for CXL 3.0 solution, including IDE for both CXL 2.0 and CXL 3.0 • Complete solution for CXL 2.0/3.0 + PHYs + IDE + VIP, including Si-proven 32G and 64G PHYs with broad foundry support • Support for Device, Host and Dual-Mode configurations, and SW Port for CXL 2.0/CXL 3.0 • Extremely low latency CXL solution • Customer-Proven 1 GHz timing closure to facilitate 32GT/s using 32b PIPE and 64GT/s using 64b PIPE • Optimized datapath architectures supporting x2 to x16 lane widths • Powerful coreConsultant configuration tool to explore architectural tradeoffs at your Desk to create optimized solution; adjust parameters and see simulation results in minutes • Leveraging industry’s most advanced RAS-DES with extensions to cover CXL features and simplify debug & software development • Flexible interface support for AMBA or optimized low-latency Native interface • Supporting advanced Arm based SoC features like LTI, MSI-GIC and Arm Confidential Compute Architecture (CCA) CXL_025
  • 19. © 2023 Synopsys, Inc. 19 How to Find Out More about Synopsys CXL IP Solutions • For more information about Synopsys CXL Solutions contact to your Synopsys Sales representative or FAE • If you are not sure about your Sales Rep or FAE, please contact Gary Ruggles ruggles@synopsys.com Synopsys Confidential Information