SlideShare a Scribd company logo
1 of 53
INTRODUCTION TO ASIC DESIGN
Dr. Y. Narasimha Murthy Ph.D
yayavaram@yahoo.com
Introduction
• ASIC [“a-sick”] is an acronym for Application
Specific Integrated Circuit.
• As the name indicates, ASIC is a non-standard
integrated circuit that is designed for a specific
use or application.
• Generally an ASIC design will be undertaken for a
product that will have a large production run , and
the ASIC may contain a very large part of the
electronics needed on a single integrated circuit.
Dr.YNM 2
contd..
• Examples for ASIC chips are : a chip for a
toy bear that talks; a chip for a satellite; a
chip designed to handle the interface
between memory and a microprocessor for a
workstation CPU; and a chip containing a
microprocessor as a cell together with other
logic.
Dr.YNM 3
contd..
• Two ICs that might or might not be
considered as ASICs are, a controller chip
for a PC and a chip for a modem. Both of
these examples are specific to an application
(shades of an ASIC) but are sold to many
different system vendors (shades of a
standard part). ASICs such as these are
sometimes called application-specific
standard products ( ASSPs ).
Dr.YNM 4
Types of ASICs
• The classification of ASICs is shown below
Dr.YNM 5
contd..
• So, as shown in the slide the ASICs are
broadly classified into three types.
• I. Full-Custom ASICs
• II. Semi-custom ASICs
• III. Programmable ASICs
Dr.YNM 6
Full-Custom ASICs
• A Full custom ASIC is one which includes some
(possibly all) logic cells that are customized and all mask
layers that are customized.
• A microprocessor is an example of a full-custom IC .
Designers spend many hours squeezing the most out of
every last square micron of microprocessor chip space by
hand.
• Customizing all of the IC features in this way allows
designers to include analog circuits, optimized memory
cells, or mechanical structures on an IC, for example.
Full-custom ICs are the most expensive to manufacture
and to design.
Dr.YNM 7
Contd..
• The manufacturing lead time (the time
required just to make an IC not including
design time) is typically eight weeks for a
full-custom IC.
• These specialized full-custom ICs are often
intended for a specific application so, we
might call some of them as full-custom
ASICs.
Dr.YNM 8
Contd…
• In a full-custom ASIC an engineer designs some
or all of the logic cells, circuits, or layout
specifically for one ASIC. This means the
designer avoids using pretested and pre
characterized cells for all or part of that design.
• This might be because existing cell libraries are
not fast enough, or the logic cells are not small
enough or consume too much power.
Dr.YNM 9
contd…
• One has to use full-custom design if the ASIC
technology is new or so specialized that there are
no existing cell libraries or because the ASIC is so
specialized that some circuits must be custom
designed.
• Fewer and fewer full-custom ICs are being
designed because of the problems with these
special parts of the ASIC.
• The growing member of this family, now a days
is , the mixed analog/digital ASIC,
Dr.YNM 10
Semicustom ASICs
• ASICs , for which all of the logic cells are
predesigned and some (possibly all) of the mask
layers are customized are called semi custom
ASICs.
• Using the predesigned cells from a cell library
makes the design , much easier.
• There are two types of semicustom ASICs
• (i) Standard-cell–based ASICs (ii)Gate-array–
based ASICs.
Dr.YNM 11
Standard-Cell Based ASICs
• A cell-based ASIC (cell-based IC, or CBIC
pronounced sea-bick) uses predesigned logic cells
(AND gates, OR gates, multiplexers, and flip-flops,
for example) known as standard cells.
• One can apply the term CBIC to any IC that uses
cells, but it is generally accepted that a cell-based
ASIC or CBIC means a standard-cell based ASIC.
Dr.YNM 12
contd…
• The standard-cell areas (also called flexible blocks)
in a CBIC are built of rows of standard cells like a
wall built of bricks. The standard-cell areas may be
used in combination with microcontrollers or
even microprocessors, known as mega cells.
Mega cells are also called mega functions, full-
custom blocks, system-level macros (SLMs), fixed
blocks, cores, or Functional Standard Blocks
(FSBs).
Dr.YNM 13
A cell-based ASIC (CBIC) die with a single
standard-cell area (a flexible block) together with
four fixed blocks.
Dr.YNM 14
contd…
• The ASIC designer defines only the placement of the
standard cells and the interconnect in a CBIC.
However, the standard cells can be placed anywhere
on the silicon; this means that all the mask layers of
a CBIC are customized and are unique to a
particular customer.
• The advantage of CBICs is that designers save time,
money, and reduce risk by using a predesigned,
pretested, and pre characterized standard-cell library.
• In addition each standard cell can be optimized
individually. During the design of the cell library
each and every transistor in every standard cell can
be chosen to maximize speed or minimize area .
Dr.YNM 15
contd…
• The disadvantages are the time or expense of
designing or buying the standard-cell library
and the time needed to fabricate all layers of
the ASIC for each new design.
Dr.YNM 16
Gate-Array Based ASICs
• In a gate array (sometimes abbreviated GA)
or gate-array based ASIC the transistors are
predefined on the silicon wafer.
• The predefined pattern of transistors on a
gate array is the base array , and the smallest
element that is replicated to make the base
array is the base cell (sometimes called a
primitive cell ).
Dr.YNM 17
Contd…
• Only the top few layers of metal, which define
the interconnect between transistors, are
defined by the designer using custom masks.
To distinguish this type of gate array from
other types of gate array, it is often called a
masked gate array ( MGA ).
• The designer chooses from a gate-array library
of predesigned and pre-characterized logic
cells
Dr.YNM 18
Contd…
• The logic cells in a gate-array library are often
called macros . The reason for this is that the
base-cell layout is the same for each logic cell,
and only the interconnect (inside cells and
between cells) is customized, which is similar
to a software macro.
Dr.YNM 19
Types of MGA or Gate-array based ASICs
• There are three types of Gate Array based
ASICs.
• Channeled gate arrays.
• Channelless gate arrays.
• Structured gate arrays.
Dr.YNM 20
Channeled gate arrays
• The channeled gate array was the first to be
developed . In a channeled gate array space is
left between the rows of transistors for wiring.
• A channeled gate array is similar to a CBIC.
Both use the rows of cells separated by
channels used for interconnect. One difference
is that the space for interconnect between
rows of cells are fixed in height in a channeled
gate array, whereas the space between rows of
cells may be adjusted in a CBIC.
Dr.YNM 21
A channeled gate-array die
Dr.YNM 22
Features of MGA
• Only the interconnect is customized.
• The interconnect uses predefined spaces
between rows of base cells.
• Manufacturing lead time is between two days
and two weeks.
Dr.YNM 23
Channel less Gate Array
• This channel less gate-array architecture is now
more widely used . The routing on a channelless
gate array uses rows of unused transistors.
• The key difference between a channel less gate
array and channeled gate array is that there are
no predefined areas set aside for routing
between cells on a channel less gate array.
Instead we route over the top of the gate-array
devices. We can do this because we customize
the contact layer that defines the connections
between metal 1, the first layer of metal, and
the transistors.
Dr.YNM 24
Features of Channel less Gate Array
• Only the interconnect is customized.
• The interconnect uses predefined spaces
between rows of base cells.
• Manufacturing lead time is around two days to
two weeks.
• When we use an area of transistors for routing
in a channel less array, we do not make any
contacts to the devices lying underneath , we
simply leave the transistors unused.
Dr.YNM 25
A channel less gate-array or sea-of-gates
(SOG) array die.
Dr.YNM 26
Contd…
• The basic difference between a channel less gate
array and channeled gate array is that there are no
predefined areas set aside for routing between cells
on a channel less gate array. Instead we route over the
top of the gate-array devices.
• It is done like this because we customize the contact
layer that defines the connections between metal1, the
first layer of metal, and the transistors. When we use
an area of transistors for routing in a channel less
array, we do not make any contacts to the devices
lying underneath; we simply leave the transistors
unused.
Dr.YNM 27
Contd…
• The logic density ,the amount of logic that can be
implemented in a given silicon area is higher for
channel less gate arrays than for channeled gate
arrays. This is usually attributed to the difference in
structure between the two types of array. In fact, the
difference occurs because the contact mask is
customized in a channel less gate array, but is not
usually customized in a channeled gate array. This
leads to denser cells in the channel less architectures.
Customizing the contact layer in a channel less gate
array allows us to increase the density of gate-array
cells because we can route over the top of unused
contact sites.
Dr.YNM 28
Structured Gate Array
• This design combines some of the features of CBICs
and MGAs.It is also known as an embedded gate array
or structured gate array(also called as master slice or
master image).
• One of the limitations of the MGA is the fixed gate-
array base cell. This makes the implementation of
memory, difficult and inefficient.
• In an embedded gate array some of the IC area is set
aside and dedicate it to a specific function. This
embedded area either can contain a different base cell
that is more suitable for building memory cells, or it can
contain a complete circuit block, such as a
microcontroller. Dr.YNM 29
A structured or embedded gate-array die showing
an embedded block in the upper left corner
Dr.YNM 30
Features of Structured Gate Array
• Only the interconnect is customized.
• Custom blocks (the same for each design) can
be embedded.
• Manufacturing lead time is between two days
and two weeks.
• An embedded gate array gives the improved
area efficiency and increased performance of
a CBIC but with the lower cost and faster turn
around of an MGA.
• The disadvantage of an embedded gate array is
that the embedded function is fixed.
Dr.YNM 31
Contd…
• For example, if an embedded gate array
contains an area set aside for a 32 k-bit
memory, but we only need a 16 k-bit
memory, then we may have to waste half
of the embedded memory function.
However, this may still be more efficient
and cheaper than implementing a 32 k-bit
memory using macros on a SOG array
Dr.YNM 32
Programmable Logic Devices
• Programmable logic devices ( PLDs ) are
standard ICs that are available in standard
configurations.
• However, PLDs may be configured or
programmed to create a part customized to a
specific application, and so they also belong
to the family of ASICs.
• PLDs use different technologies to allow
programming of the device.
Dr.YNM 33
A programmable logic device (PLD) die.
Dr.YNM 34
Features of PLDs
• No customized mask layers or logic cells
• Fast design turnaround
• A single large block of programmable
interconnect
• A matrix of logic macro cells that usually
consist of programmable array logic followed
by a flip-flop or latch
Dr.YNM 35
Contd…
• The simplest type of programmable IC is a
read-only memory( ROM ). The most common
types of ROM use a metal fuse that can be
blown permanently (a programmable ROM or
PROM ).
• An electrically programmable ROM , or
EPROM , uses programmable MOS transistors
whose characteristics are altered by applying a
high voltage.
Dr.YNM 36
Contd…
• One can erase an EPROM either by using another
high voltage (an electrically erasable PROM , or
EEPROM ) or by exposing the device to
ultraviolet light (UV-erasable PROM, or
UVPROM).
• There is another type of ROM that can be placed
on any ASIC a mask-programmable ROM (mask-
programmed ROM or masked ROM). A masked
ROM is a regular array of transistors permanently
programmed using custom mask patterns.
• So, an embedded masked ROM is a large,
specialized, logic cell.
Dr.YNM 37
Field-Programmable Gate Arrays(FPGAs)
• FPGAs are the newest member of the ASIC family
and are rapidly growing in , replacing TTL in
microelectronic systems. Even though an FPGA is
a type of gate array, we do not consider the term
gate-array based ASICs to include FPGAs.
• There is very little difference between an FPGA
and a PLD .An FPGA is usually just larger and
more complex than a PLD. In fact, some vendors
that manufacture programmable ASICs call their
products as FPGAs and some call them as
complex PLDs .
Dr.YNM 38
Characteristics of an FPGA
• None of the mask layers are customized.
• There is a method for programming the basic
logic cells and the interconnect.
• The core is a regular array of programmable basic
logic cells that can implement combinational as
well as sequential logic (flip-flops).
• A matrix of programmable interconnect
surrounds the basic logic cells.
• Programmable I/O cells surround the core.
• Design turnaround is a few hours.
Dr.YNM 39
Field-programmable gate array (FPGA) die.
Dr.YNM 40
Contd…
• The architecture consists of configurable
logic blocks, configurable I/O blocks, and
programmable interconnect. Also, there
will be clock circuitry for driving the
clock signals to each logic block, and
additional logic resources such as ALUs,
memory, and decoders may be available.
The two basic types of programmable
elements for an FPGA are Static RAM
and anti-fuses.
Dr.YNM 41
contd…
Examples of SRAM based FPGA families are
• Altera FLEX family
• Atmel AT6000 and AT40K families
• Lucent Technologies ORCA family
• Xilinx XC4000 and Virtex families
Examples of Anti-fuse based FPGA families are
• Actel SX and MX families
• Quick logic pASIC family
Dr.YNM 42
CPLDs vs. FPGAs
CPLD FPGA
• Architecture: PAL-like Gate Array-like
• Density: Low to medium Medium to high
12 22V10s or more up to 1 million gates
• Speed: Fast, predictable Application dependent
• Interconnect: Crossbar Routing
• Power Consumption: High Medium
Dr.YNM 43
Design Flow
• The sequence of steps to design an ASIC is known as the
Design flow . The various steps involved in ASIC design
flow are given below.
1. Design entry : Design entry is a stage where the micro
architecture is implemented in a Hardware Description
language like VHDL, Verilog , System Verilog etc.
• In early days , a schematic editor was used for design
entry where designers instantiated gates. Increased
complexity in the current designs require the use of HDLs
to gain productivity . Another advantage is that HDLs are
independent of process technology and hence can be re-
used over time
.
Dr.YNM 44
Contd…
2. Logic synthesis: Use an HDL (VHDL or Verilog) and
a logic synthesis tool to produce a net list a description
of the logic cells and their connections
3.System partitioning : Divide a large system into ASIC-
sized pieces.
4. Pre-layout simulation: Check to see if the design
functions correctly.
5. Floor planning: Arrange the blocks of the netlist on
the chip.
6. Placement: Decide the locations of cells in a block.
7. Routing: Make the connections between cells and
blocks.
Dr.YNM 45
Contd…
8.Extraction : Determine the resistance and
capacitance of the interconnect.
9. Post layout simulation. It is used to check to
see whether the design still works with the
added loads of the interconnect or not
The flow diagram is shown in the next slide.
Dr.YNM 46
ASIC design flow diagram
Dr.YNM 47
Contd…
• In the flow diagram the steps from 1 to 4 are
part of logical design ,and steps from 5 to 9 are
part of physical design.
• When we are performing system partitioning
we have to consider both logical and physical
factors.
Dr.YNM 48
CMOS Design Rules
• The design rules are Interface between
designer and process engineer and guidelines
for constructing process masks
• The figures in the next slides defines the
design rules for a CMOS process using
pictures. Arrows between objects denote a
minimum spacing, and arrows showing the
size of an object denote a minimum width.
Rule 3.1, for example, is the minimum width
of poly (2 l ).
Dr.YNM 49
Diagram 1
Dr.YNM 50
Diagram 2
Dr.YNM 51
Contd…
• Dimensions are in l . Rule numbers are in
parentheses (missing rule sets 11 to 13 are
extensions to this basic process)
• Each of the rule numbers may have different
values for different manufacturers there are
no standards for design rules
Dr.YNM 52
Reference
• Application-Specific Integrated Circuits- Michael
John Sebastian Smith , Addison Wesley
Longman, Inc.
Dr.YNM 53

More Related Content

What's hot (20)

FPGA
FPGAFPGA
FPGA
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Power Gating
Power GatingPower Gating
Power Gating
 
ASIC
ASICASIC
ASIC
 
Asic
AsicAsic
Asic
 
STM32 Microcontroller Clocks and RCC block
STM32 Microcontroller Clocks and RCC blockSTM32 Microcontroller Clocks and RCC block
STM32 Microcontroller Clocks and RCC block
 
Flip Chip technology
Flip Chip technologyFlip Chip technology
Flip Chip technology
 
SoC: System On Chip
SoC: System On ChipSoC: System On Chip
SoC: System On Chip
 
Synchronous and asynchronous clock
Synchronous and asynchronous clockSynchronous and asynchronous clock
Synchronous and asynchronous clock
 
FPGA
FPGAFPGA
FPGA
 
Fpga
FpgaFpga
Fpga
 
Vlsi physical design automation on partitioning
Vlsi physical design automation on partitioningVlsi physical design automation on partitioning
Vlsi physical design automation on partitioning
 
Introduction to arm processor
Introduction to arm processorIntroduction to arm processor
Introduction to arm processor
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
 
SOC design
SOC design SOC design
SOC design
 
Session 2,3 FPGAs
Session 2,3 FPGAsSession 2,3 FPGAs
Session 2,3 FPGAs
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
Field programable gate array
Field programable gate arrayField programable gate array
Field programable gate array
 

Similar to Introduction to ASIC Design Guide

Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit DesignDr.YNM
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICIndira Priyadarshini
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital icsaroosa khan
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flowijsrd.com
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : IntroductionUsha Mehta
 
CMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodologyCMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodologyIkhwan_Fakrudin
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptrajukolluri
 
1st and 2nd Lecture
1st and 2nd Lecture1st and 2nd Lecture
1st and 2nd Lecturebabak danyal
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012babak danyal
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-completeMurali Rai
 
1 VLSI Introduction.pptx
1 VLSI Introduction.pptx1 VLSI Introduction.pptx
1 VLSI Introduction.pptxShishirAhmed39
 
Challenges of emerging memory memristor.
Challenges of emerging memory memristor.Challenges of emerging memory memristor.
Challenges of emerging memory memristor.Remy Lumin
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENThelloactiva
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overviewNabil Chouba
 

Similar to Introduction to ASIC Design Guide (20)

Asic &fpga
Asic &fpgaAsic &fpga
Asic &fpga
 
Semi Custom Integrated Circuit Design
 Semi Custom Integrated Circuit Design Semi Custom Integrated Circuit Design
Semi Custom Integrated Circuit Design
 
Digital System Design-Introductio to ASIC
Digital System Design-Introductio to ASICDigital System Design-Introductio to ASIC
Digital System Design-Introductio to ASIC
 
Implementation strategies for digital ics
Implementation strategies for digital icsImplementation strategies for digital ics
Implementation strategies for digital ics
 
Vlsi design-styles
Vlsi design-stylesVlsi design-styles
Vlsi design-styles
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
 
Digital VLSI Design : Introduction
Digital VLSI Design : IntroductionDigital VLSI Design : Introduction
Digital VLSI Design : Introduction
 
CMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodologyCMOS Topic 7 -_design_methodology
CMOS Topic 7 -_design_methodology
 
DSD
DSDDSD
DSD
 
Evolution of electronics
Evolution of electronicsEvolution of electronics
Evolution of electronics
 
VLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.pptVLSI UNIT-1.1.pdf.ppt
VLSI UNIT-1.1.pdf.ppt
 
Standard-Cells.pdf
Standard-Cells.pdfStandard-Cells.pdf
Standard-Cells.pdf
 
VLSI Design- Guru.ppt
VLSI Design- Guru.pptVLSI Design- Guru.ppt
VLSI Design- Guru.ppt
 
1st and 2nd Lecture
1st and 2nd Lecture1st and 2nd Lecture
1st and 2nd Lecture
 
Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012Asic design lect1 2 august 28 2012
Asic design lect1 2 august 28 2012
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 
1 VLSI Introduction.pptx
1 VLSI Introduction.pptx1 VLSI Introduction.pptx
1 VLSI Introduction.pptx
 
Challenges of emerging memory memristor.
Challenges of emerging memory memristor.Challenges of emerging memory memristor.
Challenges of emerging memory memristor.
 
ASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENTASIC DESIGN : PLACEMENT
ASIC DESIGN : PLACEMENT
 
Semiconductor overview
Semiconductor overviewSemiconductor overview
Semiconductor overview
 

More from Dr.YNM

Introduction to DSP.ppt
Introduction to DSP.pptIntroduction to DSP.ppt
Introduction to DSP.pptDr.YNM
 
Atmel.ppt
Atmel.pptAtmel.ppt
Atmel.pptDr.YNM
 
PIC Microcontrollers.ppt
PIC Microcontrollers.pptPIC Microcontrollers.ppt
PIC Microcontrollers.pptDr.YNM
 
Crystalstructure-.ppt
Crystalstructure-.pptCrystalstructure-.ppt
Crystalstructure-.pptDr.YNM
 
Basics of OS & RTOS.ppt
Basics of OS & RTOS.pptBasics of OS & RTOS.ppt
Basics of OS & RTOS.pptDr.YNM
 
Introducion to MSP430 Microcontroller.pptx
Introducion to MSP430 Microcontroller.pptxIntroducion to MSP430 Microcontroller.pptx
Introducion to MSP430 Microcontroller.pptxDr.YNM
 
Microcontroller-8051.ppt
Microcontroller-8051.pptMicrocontroller-8051.ppt
Microcontroller-8051.pptDr.YNM
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptDr.YNM
 
Basics of data communications.pptx
Basics of data communications.pptxBasics of data communications.pptx
Basics of data communications.pptxDr.YNM
 
CPLD & FPGA Architectures and applictionsplications.pptx
CPLD & FPGA Architectures and applictionsplications.pptxCPLD & FPGA Architectures and applictionsplications.pptx
CPLD & FPGA Architectures and applictionsplications.pptxDr.YNM
 
Transient response of RC , RL circuits with step input
Transient response of RC , RL circuits  with step inputTransient response of RC , RL circuits  with step input
Transient response of RC , RL circuits with step inputDr.YNM
 
CISC & RISC ARCHITECTURES
CISC & RISC ARCHITECTURESCISC & RISC ARCHITECTURES
CISC & RISC ARCHITECTURESDr.YNM
 
Lect 4 ARM PROCESSOR ARCHITECTURE
Lect 4 ARM PROCESSOR ARCHITECTURELect 4 ARM PROCESSOR ARCHITECTURE
Lect 4 ARM PROCESSOR ARCHITECTUREDr.YNM
 
Lect 3 ARM PROCESSOR ARCHITECTURE
Lect 3  ARM PROCESSOR ARCHITECTURE Lect 3  ARM PROCESSOR ARCHITECTURE
Lect 3 ARM PROCESSOR ARCHITECTURE Dr.YNM
 
Microprocessor Architecture 4
Microprocessor Architecture  4Microprocessor Architecture  4
Microprocessor Architecture 4Dr.YNM
 
Lect 2 ARM processor architecture
Lect 2 ARM processor architectureLect 2 ARM processor architecture
Lect 2 ARM processor architectureDr.YNM
 
Microprocessor Architecture-III
Microprocessor Architecture-IIIMicroprocessor Architecture-III
Microprocessor Architecture-IIIDr.YNM
 
LECT 1: ARM PROCESSORS
LECT 1: ARM PROCESSORSLECT 1: ARM PROCESSORS
LECT 1: ARM PROCESSORSDr.YNM
 
Microprocessor architecture II
Microprocessor architecture   IIMicroprocessor architecture   II
Microprocessor architecture IIDr.YNM
 
Verilog Test Bench
Verilog Test BenchVerilog Test Bench
Verilog Test BenchDr.YNM
 

More from Dr.YNM (20)

Introduction to DSP.ppt
Introduction to DSP.pptIntroduction to DSP.ppt
Introduction to DSP.ppt
 
Atmel.ppt
Atmel.pptAtmel.ppt
Atmel.ppt
 
PIC Microcontrollers.ppt
PIC Microcontrollers.pptPIC Microcontrollers.ppt
PIC Microcontrollers.ppt
 
Crystalstructure-.ppt
Crystalstructure-.pptCrystalstructure-.ppt
Crystalstructure-.ppt
 
Basics of OS & RTOS.ppt
Basics of OS & RTOS.pptBasics of OS & RTOS.ppt
Basics of OS & RTOS.ppt
 
Introducion to MSP430 Microcontroller.pptx
Introducion to MSP430 Microcontroller.pptxIntroducion to MSP430 Microcontroller.pptx
Introducion to MSP430 Microcontroller.pptx
 
Microcontroller-8051.ppt
Microcontroller-8051.pptMicrocontroller-8051.ppt
Microcontroller-8051.ppt
 
VHDL-PRESENTATION.ppt
VHDL-PRESENTATION.pptVHDL-PRESENTATION.ppt
VHDL-PRESENTATION.ppt
 
Basics of data communications.pptx
Basics of data communications.pptxBasics of data communications.pptx
Basics of data communications.pptx
 
CPLD & FPGA Architectures and applictionsplications.pptx
CPLD & FPGA Architectures and applictionsplications.pptxCPLD & FPGA Architectures and applictionsplications.pptx
CPLD & FPGA Architectures and applictionsplications.pptx
 
Transient response of RC , RL circuits with step input
Transient response of RC , RL circuits  with step inputTransient response of RC , RL circuits  with step input
Transient response of RC , RL circuits with step input
 
CISC & RISC ARCHITECTURES
CISC & RISC ARCHITECTURESCISC & RISC ARCHITECTURES
CISC & RISC ARCHITECTURES
 
Lect 4 ARM PROCESSOR ARCHITECTURE
Lect 4 ARM PROCESSOR ARCHITECTURELect 4 ARM PROCESSOR ARCHITECTURE
Lect 4 ARM PROCESSOR ARCHITECTURE
 
Lect 3 ARM PROCESSOR ARCHITECTURE
Lect 3  ARM PROCESSOR ARCHITECTURE Lect 3  ARM PROCESSOR ARCHITECTURE
Lect 3 ARM PROCESSOR ARCHITECTURE
 
Microprocessor Architecture 4
Microprocessor Architecture  4Microprocessor Architecture  4
Microprocessor Architecture 4
 
Lect 2 ARM processor architecture
Lect 2 ARM processor architectureLect 2 ARM processor architecture
Lect 2 ARM processor architecture
 
Microprocessor Architecture-III
Microprocessor Architecture-IIIMicroprocessor Architecture-III
Microprocessor Architecture-III
 
LECT 1: ARM PROCESSORS
LECT 1: ARM PROCESSORSLECT 1: ARM PROCESSORS
LECT 1: ARM PROCESSORS
 
Microprocessor architecture II
Microprocessor architecture   IIMicroprocessor architecture   II
Microprocessor architecture II
 
Verilog Test Bench
Verilog Test BenchVerilog Test Bench
Verilog Test Bench
 

Recently uploaded

main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)dollysharma2066
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEroselinkalist12
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.eptoze12
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxk795866
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )Tsuyoshi Horigome
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 

Recently uploaded (20)

main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptxExploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
Exploring_Network_Security_with_JA3_by_Rakesh Seal.pptx
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
9953056974 Call Girls In South Ex, Escorts (Delhi) NCR.pdf
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
Call Us ≽ 8377877756 ≼ Call Girls In Shastri Nagar (Delhi)
 
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETEINFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
INFLUENCE OF NANOSILICA ON THE PROPERTIES OF CONCRETE
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.Oxy acetylene welding presentation note.
Oxy acetylene welding presentation note.
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Introduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptxIntroduction-To-Agricultural-Surveillance-Rover.pptx
Introduction-To-Agricultural-Surveillance-Rover.pptx
 
SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )SPICE PARK APR2024 ( 6,793 SPICE Models )
SPICE PARK APR2024 ( 6,793 SPICE Models )
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 

Introduction to ASIC Design Guide

  • 1. INTRODUCTION TO ASIC DESIGN Dr. Y. Narasimha Murthy Ph.D yayavaram@yahoo.com
  • 2. Introduction • ASIC [“a-sick”] is an acronym for Application Specific Integrated Circuit. • As the name indicates, ASIC is a non-standard integrated circuit that is designed for a specific use or application. • Generally an ASIC design will be undertaken for a product that will have a large production run , and the ASIC may contain a very large part of the electronics needed on a single integrated circuit. Dr.YNM 2
  • 3. contd.. • Examples for ASIC chips are : a chip for a toy bear that talks; a chip for a satellite; a chip designed to handle the interface between memory and a microprocessor for a workstation CPU; and a chip containing a microprocessor as a cell together with other logic. Dr.YNM 3
  • 4. contd.. • Two ICs that might or might not be considered as ASICs are, a controller chip for a PC and a chip for a modem. Both of these examples are specific to an application (shades of an ASIC) but are sold to many different system vendors (shades of a standard part). ASICs such as these are sometimes called application-specific standard products ( ASSPs ). Dr.YNM 4
  • 5. Types of ASICs • The classification of ASICs is shown below Dr.YNM 5
  • 6. contd.. • So, as shown in the slide the ASICs are broadly classified into three types. • I. Full-Custom ASICs • II. Semi-custom ASICs • III. Programmable ASICs Dr.YNM 6
  • 7. Full-Custom ASICs • A Full custom ASIC is one which includes some (possibly all) logic cells that are customized and all mask layers that are customized. • A microprocessor is an example of a full-custom IC . Designers spend many hours squeezing the most out of every last square micron of microprocessor chip space by hand. • Customizing all of the IC features in this way allows designers to include analog circuits, optimized memory cells, or mechanical structures on an IC, for example. Full-custom ICs are the most expensive to manufacture and to design. Dr.YNM 7
  • 8. Contd.. • The manufacturing lead time (the time required just to make an IC not including design time) is typically eight weeks for a full-custom IC. • These specialized full-custom ICs are often intended for a specific application so, we might call some of them as full-custom ASICs. Dr.YNM 8
  • 9. Contd… • In a full-custom ASIC an engineer designs some or all of the logic cells, circuits, or layout specifically for one ASIC. This means the designer avoids using pretested and pre characterized cells for all or part of that design. • This might be because existing cell libraries are not fast enough, or the logic cells are not small enough or consume too much power. Dr.YNM 9
  • 10. contd… • One has to use full-custom design if the ASIC technology is new or so specialized that there are no existing cell libraries or because the ASIC is so specialized that some circuits must be custom designed. • Fewer and fewer full-custom ICs are being designed because of the problems with these special parts of the ASIC. • The growing member of this family, now a days is , the mixed analog/digital ASIC, Dr.YNM 10
  • 11. Semicustom ASICs • ASICs , for which all of the logic cells are predesigned and some (possibly all) of the mask layers are customized are called semi custom ASICs. • Using the predesigned cells from a cell library makes the design , much easier. • There are two types of semicustom ASICs • (i) Standard-cell–based ASICs (ii)Gate-array– based ASICs. Dr.YNM 11
  • 12. Standard-Cell Based ASICs • A cell-based ASIC (cell-based IC, or CBIC pronounced sea-bick) uses predesigned logic cells (AND gates, OR gates, multiplexers, and flip-flops, for example) known as standard cells. • One can apply the term CBIC to any IC that uses cells, but it is generally accepted that a cell-based ASIC or CBIC means a standard-cell based ASIC. Dr.YNM 12
  • 13. contd… • The standard-cell areas (also called flexible blocks) in a CBIC are built of rows of standard cells like a wall built of bricks. The standard-cell areas may be used in combination with microcontrollers or even microprocessors, known as mega cells. Mega cells are also called mega functions, full- custom blocks, system-level macros (SLMs), fixed blocks, cores, or Functional Standard Blocks (FSBs). Dr.YNM 13
  • 14. A cell-based ASIC (CBIC) die with a single standard-cell area (a flexible block) together with four fixed blocks. Dr.YNM 14
  • 15. contd… • The ASIC designer defines only the placement of the standard cells and the interconnect in a CBIC. However, the standard cells can be placed anywhere on the silicon; this means that all the mask layers of a CBIC are customized and are unique to a particular customer. • The advantage of CBICs is that designers save time, money, and reduce risk by using a predesigned, pretested, and pre characterized standard-cell library. • In addition each standard cell can be optimized individually. During the design of the cell library each and every transistor in every standard cell can be chosen to maximize speed or minimize area . Dr.YNM 15
  • 16. contd… • The disadvantages are the time or expense of designing or buying the standard-cell library and the time needed to fabricate all layers of the ASIC for each new design. Dr.YNM 16
  • 17. Gate-Array Based ASICs • In a gate array (sometimes abbreviated GA) or gate-array based ASIC the transistors are predefined on the silicon wafer. • The predefined pattern of transistors on a gate array is the base array , and the smallest element that is replicated to make the base array is the base cell (sometimes called a primitive cell ). Dr.YNM 17
  • 18. Contd… • Only the top few layers of metal, which define the interconnect between transistors, are defined by the designer using custom masks. To distinguish this type of gate array from other types of gate array, it is often called a masked gate array ( MGA ). • The designer chooses from a gate-array library of predesigned and pre-characterized logic cells Dr.YNM 18
  • 19. Contd… • The logic cells in a gate-array library are often called macros . The reason for this is that the base-cell layout is the same for each logic cell, and only the interconnect (inside cells and between cells) is customized, which is similar to a software macro. Dr.YNM 19
  • 20. Types of MGA or Gate-array based ASICs • There are three types of Gate Array based ASICs. • Channeled gate arrays. • Channelless gate arrays. • Structured gate arrays. Dr.YNM 20
  • 21. Channeled gate arrays • The channeled gate array was the first to be developed . In a channeled gate array space is left between the rows of transistors for wiring. • A channeled gate array is similar to a CBIC. Both use the rows of cells separated by channels used for interconnect. One difference is that the space for interconnect between rows of cells are fixed in height in a channeled gate array, whereas the space between rows of cells may be adjusted in a CBIC. Dr.YNM 21
  • 22. A channeled gate-array die Dr.YNM 22
  • 23. Features of MGA • Only the interconnect is customized. • The interconnect uses predefined spaces between rows of base cells. • Manufacturing lead time is between two days and two weeks. Dr.YNM 23
  • 24. Channel less Gate Array • This channel less gate-array architecture is now more widely used . The routing on a channelless gate array uses rows of unused transistors. • The key difference between a channel less gate array and channeled gate array is that there are no predefined areas set aside for routing between cells on a channel less gate array. Instead we route over the top of the gate-array devices. We can do this because we customize the contact layer that defines the connections between metal 1, the first layer of metal, and the transistors. Dr.YNM 24
  • 25. Features of Channel less Gate Array • Only the interconnect is customized. • The interconnect uses predefined spaces between rows of base cells. • Manufacturing lead time is around two days to two weeks. • When we use an area of transistors for routing in a channel less array, we do not make any contacts to the devices lying underneath , we simply leave the transistors unused. Dr.YNM 25
  • 26. A channel less gate-array or sea-of-gates (SOG) array die. Dr.YNM 26
  • 27. Contd… • The basic difference between a channel less gate array and channeled gate array is that there are no predefined areas set aside for routing between cells on a channel less gate array. Instead we route over the top of the gate-array devices. • It is done like this because we customize the contact layer that defines the connections between metal1, the first layer of metal, and the transistors. When we use an area of transistors for routing in a channel less array, we do not make any contacts to the devices lying underneath; we simply leave the transistors unused. Dr.YNM 27
  • 28. Contd… • The logic density ,the amount of logic that can be implemented in a given silicon area is higher for channel less gate arrays than for channeled gate arrays. This is usually attributed to the difference in structure between the two types of array. In fact, the difference occurs because the contact mask is customized in a channel less gate array, but is not usually customized in a channeled gate array. This leads to denser cells in the channel less architectures. Customizing the contact layer in a channel less gate array allows us to increase the density of gate-array cells because we can route over the top of unused contact sites. Dr.YNM 28
  • 29. Structured Gate Array • This design combines some of the features of CBICs and MGAs.It is also known as an embedded gate array or structured gate array(also called as master slice or master image). • One of the limitations of the MGA is the fixed gate- array base cell. This makes the implementation of memory, difficult and inefficient. • In an embedded gate array some of the IC area is set aside and dedicate it to a specific function. This embedded area either can contain a different base cell that is more suitable for building memory cells, or it can contain a complete circuit block, such as a microcontroller. Dr.YNM 29
  • 30. A structured or embedded gate-array die showing an embedded block in the upper left corner Dr.YNM 30
  • 31. Features of Structured Gate Array • Only the interconnect is customized. • Custom blocks (the same for each design) can be embedded. • Manufacturing lead time is between two days and two weeks. • An embedded gate array gives the improved area efficiency and increased performance of a CBIC but with the lower cost and faster turn around of an MGA. • The disadvantage of an embedded gate array is that the embedded function is fixed. Dr.YNM 31
  • 32. Contd… • For example, if an embedded gate array contains an area set aside for a 32 k-bit memory, but we only need a 16 k-bit memory, then we may have to waste half of the embedded memory function. However, this may still be more efficient and cheaper than implementing a 32 k-bit memory using macros on a SOG array Dr.YNM 32
  • 33. Programmable Logic Devices • Programmable logic devices ( PLDs ) are standard ICs that are available in standard configurations. • However, PLDs may be configured or programmed to create a part customized to a specific application, and so they also belong to the family of ASICs. • PLDs use different technologies to allow programming of the device. Dr.YNM 33
  • 34. A programmable logic device (PLD) die. Dr.YNM 34
  • 35. Features of PLDs • No customized mask layers or logic cells • Fast design turnaround • A single large block of programmable interconnect • A matrix of logic macro cells that usually consist of programmable array logic followed by a flip-flop or latch Dr.YNM 35
  • 36. Contd… • The simplest type of programmable IC is a read-only memory( ROM ). The most common types of ROM use a metal fuse that can be blown permanently (a programmable ROM or PROM ). • An electrically programmable ROM , or EPROM , uses programmable MOS transistors whose characteristics are altered by applying a high voltage. Dr.YNM 36
  • 37. Contd… • One can erase an EPROM either by using another high voltage (an electrically erasable PROM , or EEPROM ) or by exposing the device to ultraviolet light (UV-erasable PROM, or UVPROM). • There is another type of ROM that can be placed on any ASIC a mask-programmable ROM (mask- programmed ROM or masked ROM). A masked ROM is a regular array of transistors permanently programmed using custom mask patterns. • So, an embedded masked ROM is a large, specialized, logic cell. Dr.YNM 37
  • 38. Field-Programmable Gate Arrays(FPGAs) • FPGAs are the newest member of the ASIC family and are rapidly growing in , replacing TTL in microelectronic systems. Even though an FPGA is a type of gate array, we do not consider the term gate-array based ASICs to include FPGAs. • There is very little difference between an FPGA and a PLD .An FPGA is usually just larger and more complex than a PLD. In fact, some vendors that manufacture programmable ASICs call their products as FPGAs and some call them as complex PLDs . Dr.YNM 38
  • 39. Characteristics of an FPGA • None of the mask layers are customized. • There is a method for programming the basic logic cells and the interconnect. • The core is a regular array of programmable basic logic cells that can implement combinational as well as sequential logic (flip-flops). • A matrix of programmable interconnect surrounds the basic logic cells. • Programmable I/O cells surround the core. • Design turnaround is a few hours. Dr.YNM 39
  • 40. Field-programmable gate array (FPGA) die. Dr.YNM 40
  • 41. Contd… • The architecture consists of configurable logic blocks, configurable I/O blocks, and programmable interconnect. Also, there will be clock circuitry for driving the clock signals to each logic block, and additional logic resources such as ALUs, memory, and decoders may be available. The two basic types of programmable elements for an FPGA are Static RAM and anti-fuses. Dr.YNM 41
  • 42. contd… Examples of SRAM based FPGA families are • Altera FLEX family • Atmel AT6000 and AT40K families • Lucent Technologies ORCA family • Xilinx XC4000 and Virtex families Examples of Anti-fuse based FPGA families are • Actel SX and MX families • Quick logic pASIC family Dr.YNM 42
  • 43. CPLDs vs. FPGAs CPLD FPGA • Architecture: PAL-like Gate Array-like • Density: Low to medium Medium to high 12 22V10s or more up to 1 million gates • Speed: Fast, predictable Application dependent • Interconnect: Crossbar Routing • Power Consumption: High Medium Dr.YNM 43
  • 44. Design Flow • The sequence of steps to design an ASIC is known as the Design flow . The various steps involved in ASIC design flow are given below. 1. Design entry : Design entry is a stage where the micro architecture is implemented in a Hardware Description language like VHDL, Verilog , System Verilog etc. • In early days , a schematic editor was used for design entry where designers instantiated gates. Increased complexity in the current designs require the use of HDLs to gain productivity . Another advantage is that HDLs are independent of process technology and hence can be re- used over time . Dr.YNM 44
  • 45. Contd… 2. Logic synthesis: Use an HDL (VHDL or Verilog) and a logic synthesis tool to produce a net list a description of the logic cells and their connections 3.System partitioning : Divide a large system into ASIC- sized pieces. 4. Pre-layout simulation: Check to see if the design functions correctly. 5. Floor planning: Arrange the blocks of the netlist on the chip. 6. Placement: Decide the locations of cells in a block. 7. Routing: Make the connections between cells and blocks. Dr.YNM 45
  • 46. Contd… 8.Extraction : Determine the resistance and capacitance of the interconnect. 9. Post layout simulation. It is used to check to see whether the design still works with the added loads of the interconnect or not The flow diagram is shown in the next slide. Dr.YNM 46
  • 47. ASIC design flow diagram Dr.YNM 47
  • 48. Contd… • In the flow diagram the steps from 1 to 4 are part of logical design ,and steps from 5 to 9 are part of physical design. • When we are performing system partitioning we have to consider both logical and physical factors. Dr.YNM 48
  • 49. CMOS Design Rules • The design rules are Interface between designer and process engineer and guidelines for constructing process masks • The figures in the next slides defines the design rules for a CMOS process using pictures. Arrows between objects denote a minimum spacing, and arrows showing the size of an object denote a minimum width. Rule 3.1, for example, is the minimum width of poly (2 l ). Dr.YNM 49
  • 52. Contd… • Dimensions are in l . Rule numbers are in parentheses (missing rule sets 11 to 13 are extensions to this basic process) • Each of the rule numbers may have different values for different manufacturers there are no standards for design rules Dr.YNM 52
  • 53. Reference • Application-Specific Integrated Circuits- Michael John Sebastian Smith , Addison Wesley Longman, Inc. Dr.YNM 53