SlideShare a Scribd company logo
[object Object],[object Object],AMD Technology Update - Servers and Stream Processors -
Agenda ,[object Object],Stream Processors ,[object Object],[object Object],CPU Roadmap
AMD computing products Notebooks 1 and 2 cores Platform certification Servers 2 and 4 cores Up to 8 processors FireStream accelerators Desktops Up to 4 cores Native multi-core Infrastructure Chipsets Graphics cards
The Green IT Challenge
The Green IT Challenge
Performance por watt ,[object Object],[object Object]
AMD CoolCore™ Technology  Turns off   Blocks of Logic When Not in Use ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
AMD Opteron
8-core system comparison  AMD FSB FSB Hyper Transport CS MEMORY MEMORY MEMORY Twice the number of memory controllers Moving data affected by FSB Bottleneck
HPC Performance Advantage 100% = Xeon E5472 13% 19% The results stated above reflect results published on  www.spec.org  and  www.amd.com  as of June 23, 2008.  The STREAM, FLUENT, STAR-CD, and LS-DYNA comparisons above are based on results measured in AMD’s performance lab. For the latest results visit  http://www.spec.org/  and  http://www.amd.com .  17% Average Performance Advantage for  Opteron 2356
The Clear Leader for HPC Efficiency Based on measurements at AMD performance labs as of June 23, 2008. FLUENT results based on FLUENT 12 beta release from ANSYS, Inc. Performance on the final software release may vary. LS-DYNA results based on LS-DYNA mpp970 release from LSTC. STAR-CD results based on STAR-CD v4.02 release from CD-adapco. Higher performance and lower power consumption – the  CLEAR  leader Benchmark Rating (higher is better) Energy Usage (lower is better) Rating per Energy Usage (higher is better) FLUENT  (CFD) sedan_4m, 8 cores 133% 86.7% 154% LS-DYNA  (FEA) 3 Vehicle Collision, 8 cores 114% 99.6% 115% STAR-CD  (CFD) A_Class_6m, 8 cores 125% 93.9% 133% Relative to Xeon E5472 processor-based server
Introducing “Shanghai”  The new price/performance-per-watt leader for x86 servers. 1 Immersion lithography contributes to major power and performance benefits 2 Still the industry’s most manageable and consistent server platform 3 New innovations result in superior virtualization and power management capabilities 4 Unprecedented levels of price/performance for businesses 5
AMD Technology Leadership Roadmap 180nm 130nm 90nm 65nm 45nm 32nm 2000 2002 2004 2006 2008 2010 Cu Interconnect Low-K dielectric Strained-Si Multi-Strain Transistor 130nm 2003 SOI 2003  AMD Opteron™  2005  Dual-Core  AMD Opteron™  2007 Quad-Core  AMD Opteron™
Previous-generation innovations that continue Independent Dynamic Core Technology AMD CoolCore™ Technology Dual Dynamic Power Management™ Low-Power DDR2 Memory … and these power-efficiency features AMD Wide Floating- Point Accelerator AMD Memory Optimizer Technology Dual Dynamic Power Management™ AMD Balanced Smart Cache These performance-enhancing features… Low-Power DDR2 Memory Dual Dynamic Power Management™ ~ 150% 100% Dual-Core* Quad-Core* *Stream bandwidth comparison  of Second-Generation & Third-Generation AMD Opteron™ processor
Innovations new to this generation L3 Cache Index Disable 3 RAS Enhanced RVI AMD Smart Fetch 45nm with Immersion Lithography AMD Memory Optimizer Technology AMD Balanced Smart Cache HyperTransport ™  Technology 3.0 2 Performance DDR2-800 Enhanced Pre-fetching 2x Core Probe Bandwidth 1 Up to 21% CPU Power Savings Greater Frequency 1 8MB: 2x total cache 1 Faster World Switch 1 Superior Data Integrity Up to 17.6GB/s ,[object Object],[object Object],[object Object],45nm with Immersion Lithography Power Efficiency ~35% Lower Idle Power 1 Virtualization Core 3 Core 4 Core 1 Core 2 L1 L2 Integrated Memory Controller L3 L2 L2 L2 L1 L1 L1 Core 3 Core 4 Core 1 Core 2 L1 L2 Integrated Memory Controller L3 L2 L2 L2 L1 L1 L1 HT3 CPU CPU Core 3 Core 4 Core 1 Core 2 L1 L2 Integrated Memory Controller L3 L2 L2 L2 L2 L1 L1 L1 L1 VM1 VM2 Virtual Memory 1 Virtual Memory 2 Physical Memory Performance 90nm 65nm 45nm Power
Record-setting Performance  4P Java Performance AMD Opteron™  Processor  Model 8384 “ Shanghai”  (2.7 GHz) Intel Xeon Processor Model X7460 “ Dunnington” (2,66 GHz) $2149 $2729 ,[object Object],[object Object],* All results based on SPECjbb®2005.  See backup slide 23 for system configuration and details. Pricing reflects 1kU tray pricing published on  http://www.amd.com  and  http://www.intel.com  as of October 16, 2008 and/or suggested “Shanghai” 1kU price at launch.  24 cores 16 cores Intel = *NEW* X5482 (3.2GHz / 1600 FSB) 2P SPECfp
Accelerated Computing
Acceleration Concepts Reduction in Latency Add-in Chipset “ Socket Filler” Package Integration Core Integration Outside the system  Closer to the cores Chipset PCI-E A c c e l e r a t o r CPU Accelerator AMD  Opteron Socket AMD  Opteron Socket Opteron Socket PCIe Accelerator HTX Accelerator Accelerator
Enhancing GPUs for Computation RV770 Architecture ,[object Object],[object Object],AMD  Multicore CPUs AMD Runtime Compilers Libraries 3 rd  Party Tools Brook+ Rapidmind ACML/Cobra Compute Abstraction Layer (CAL) AMD  Stream  Processors 750 MHz 800 GDDR5   3.6 Gbps 1.2 teraFLOPS 2GB 160W Compute Power Core Clock Speed Stream Processors Memory Type Memory Peak Board Power
http://developer.amd.com/
AMD in 7 of the Top10
AMD native quad-core FSB HyperTransport AMD64 Cache L3 PCI-e PCI-e Chipset  Chipset Memory controller Memory controller Hyper  Transport Interface Core 1 Core 2 Core 1 Cache L2 CROSSBAR Core 3 Core 4 Cache L2 Cache L2 Core 2 Cache L2 Core 3 Cache L2 Core 4 Cache L2
Evolving to enable Fusion 2008 GPU – Crossbar 2006 GPU – Ring Bus
OpenCL Compliance Giving Programmers a Fully Open Cross-Platform Interface ,[object Object],[object Object],[object Object],AMD is participating in the Khronos OpenCL Working Group to help define an industry standard for  GPGPU  programming
Ecosystem Model for ATI Stream Computing Open Standards: DirectX, OpenCL Gaming Science Productivity Entertainment CAL, Brook+ ,[object Object],[object Object],[object Object],[object Object]
AMD + Tyan + SDC
Obrigado! roberto.brandao@amd.com
[object Object],[object Object],[object Object]

More Related Content

What's hot

ISSCC 2018: "Zeppelin": an SoC for Multi-chip Architectures
ISSCC 2018: "Zeppelin": an SoC for Multi-chip ArchitecturesISSCC 2018: "Zeppelin": an SoC for Multi-chip Architectures
ISSCC 2018: "Zeppelin": an SoC for Multi-chip Architectures
AMD
 
AMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World Records
AMD
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
AMD
 
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD
 
Hardware & Software Platforms for HPC, AI and ML
Hardware & Software Platforms for HPC, AI and MLHardware & Software Platforms for HPC, AI and ML
Hardware & Software Platforms for HPC, AI and ML
inside-BigData.com
 
Amd accelerated computing -ufrj
Amd   accelerated computing -ufrjAmd   accelerated computing -ufrj
Amd accelerated computing -ufrjRoberto Brandao
 
Exceeding the Limits of Air Cooling to Unlock Greater Potential in HPC
Exceeding the Limits of Air Cooling to Unlock Greater Potential in HPCExceeding the Limits of Air Cooling to Unlock Greater Potential in HPC
Exceeding the Limits of Air Cooling to Unlock Greater Potential in HPC
inside-BigData.com
 
Intel 8th Core G Series with Radeon Vega M
Intel 8th Core G Series with Radeon Vega M Intel 8th Core G Series with Radeon Vega M
Intel 8th Core G Series with Radeon Vega M
Low Hong Chuan
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
AMD
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
AMD
 
AMD Bridges the X86 and ARM Ecosystems for the Data Center
AMD Bridges the X86 and ARM Ecosystems for the Data Center AMD Bridges the X86 and ARM Ecosystems for the Data Center
AMD Bridges the X86 and ARM Ecosystems for the Data Center
AMD
 
NNSA Explorations: ARM for Supercomputing
NNSA Explorations: ARM for SupercomputingNNSA Explorations: ARM for Supercomputing
NNSA Explorations: ARM for Supercomputing
inside-BigData.com
 
The Path to "Zen 2"
The Path to "Zen 2"The Path to "Zen 2"
The Path to "Zen 2"
AMD
 
BKK16-317 How to generate power models for EAS and IPA
BKK16-317 How to generate power models for EAS and IPABKK16-317 How to generate power models for EAS and IPA
BKK16-317 How to generate power models for EAS and IPA
Linaro
 
BKK16-TR08 How to generate power models for EAS and IPA
BKK16-TR08 How to generate power models for EAS and IPABKK16-TR08 How to generate power models for EAS and IPA
BKK16-TR08 How to generate power models for EAS and IPA
Linaro
 
Delivering the Future of High-Performance Computing
Delivering the Future of High-Performance ComputingDelivering the Future of High-Performance Computing
Delivering the Future of High-Performance Computing
AMD
 
Power 7 Overview
Power 7 OverviewPower 7 Overview
Power 7 Overview
lambertt
 
@IBM Power roadmap 8
@IBM Power roadmap 8 @IBM Power roadmap 8
@IBM Power roadmap 8
Diego Alberto Tamayo
 
Open Hardware and Future Computing
Open Hardware and Future ComputingOpen Hardware and Future Computing
Open Hardware and Future Computing
Ganesan Narayanasamy
 

What's hot (20)

ISSCC 2018: "Zeppelin": an SoC for Multi-chip Architectures
ISSCC 2018: "Zeppelin": an SoC for Multi-chip ArchitecturesISSCC 2018: "Zeppelin": an SoC for Multi-chip Architectures
ISSCC 2018: "Zeppelin": an SoC for Multi-chip Architectures
 
AMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World RecordsAMD EPYC 7002 Launch World Records
AMD EPYC 7002 Launch World Records
 
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APUHot Chips: AMD Next Gen 7nm Ryzen 4000 APU
Hot Chips: AMD Next Gen 7nm Ryzen 4000 APU
 
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUsAMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
AMD Radeon™ RX 5700 Series 7nm Energy-Efficient High-Performance GPUs
 
Hardware & Software Platforms for HPC, AI and ML
Hardware & Software Platforms for HPC, AI and MLHardware & Software Platforms for HPC, AI and ML
Hardware & Software Platforms for HPC, AI and ML
 
Amd accelerated computing -ufrj
Amd   accelerated computing -ufrjAmd   accelerated computing -ufrj
Amd accelerated computing -ufrj
 
Exceeding the Limits of Air Cooling to Unlock Greater Potential in HPC
Exceeding the Limits of Air Cooling to Unlock Greater Potential in HPCExceeding the Limits of Air Cooling to Unlock Greater Potential in HPC
Exceeding the Limits of Air Cooling to Unlock Greater Potential in HPC
 
Intel 8th Core G Series with Radeon Vega M
Intel 8th Core G Series with Radeon Vega M Intel 8th Core G Series with Radeon Vega M
Intel 8th Core G Series with Radeon Vega M
 
AMD Next Horizon
AMD Next HorizonAMD Next Horizon
AMD Next Horizon
 
AMD EPYC 7002 World Records
AMD EPYC 7002 World RecordsAMD EPYC 7002 World Records
AMD EPYC 7002 World Records
 
AMD Bridges the X86 and ARM Ecosystems for the Data Center
AMD Bridges the X86 and ARM Ecosystems for the Data Center AMD Bridges the X86 and ARM Ecosystems for the Data Center
AMD Bridges the X86 and ARM Ecosystems for the Data Center
 
NNSA Explorations: ARM for Supercomputing
NNSA Explorations: ARM for SupercomputingNNSA Explorations: ARM for Supercomputing
NNSA Explorations: ARM for Supercomputing
 
The Path to "Zen 2"
The Path to "Zen 2"The Path to "Zen 2"
The Path to "Zen 2"
 
BKK16-317 How to generate power models for EAS and IPA
BKK16-317 How to generate power models for EAS and IPABKK16-317 How to generate power models for EAS and IPA
BKK16-317 How to generate power models for EAS and IPA
 
BKK16-TR08 How to generate power models for EAS and IPA
BKK16-TR08 How to generate power models for EAS and IPABKK16-TR08 How to generate power models for EAS and IPA
BKK16-TR08 How to generate power models for EAS and IPA
 
Delivering the Future of High-Performance Computing
Delivering the Future of High-Performance ComputingDelivering the Future of High-Performance Computing
Delivering the Future of High-Performance Computing
 
Power 7 Overview
Power 7 OverviewPower 7 Overview
Power 7 Overview
 
@IBM Power roadmap 8
@IBM Power roadmap 8 @IBM Power roadmap 8
@IBM Power roadmap 8
 
Open Hardware and Future Computing
Open Hardware and Future ComputingOpen Hardware and Future Computing
Open Hardware and Future Computing
 
Tuned
TunedTuned
Tuned
 

Viewers also liked

122 2016 ii-italian guidelines
122   2016   ii-italian guidelines122   2016   ii-italian guidelines
122 2016 ii-italian guidelines
http://www.studioingvolpi.it
 
EmpresaCopix
EmpresaCopixEmpresaCopix
EmpresaCopix
Roma_7
 
CADRUL LEGAL PENTRU DESFASURAREA ACTIVITATII DE APICULTOR
CADRUL LEGAL PENTRU DESFASURAREA ACTIVITATII DE APICULTORCADRUL LEGAL PENTRU DESFASURAREA ACTIVITATII DE APICULTOR
CADRUL LEGAL PENTRU DESFASURAREA ACTIVITATII DE APICULTOR
Asociaţia Naţionaţă a Apiclultorilor din Republica Moldova
 
Termo04
Termo04Termo04
Termo04
Wilson Silva
 
Varela elsa-capa yapa-1
Varela elsa-capa yapa-1Varela elsa-capa yapa-1
Varela elsa-capa yapa-1
Elsa Varela
 
AMD-WWSBC_English_1108
AMD-WWSBC_English_1108AMD-WWSBC_English_1108
AMD-WWSBC_English_1108finance34
 
By Vikrant Desai
By Vikrant DesaiBy Vikrant Desai
By Vikrant Desaidvikranttt
 
AMD Second Quarter 2013 Earnings Results Summary
AMD Second Quarter 2013 Earnings Results SummaryAMD Second Quarter 2013 Earnings Results Summary
AMD Second Quarter 2013 Earnings Results Summary
AMD
 
AMD 2008 Annual ReportonForm10-K
AMD 2008 Annual ReportonForm10-KAMD 2008 Annual ReportonForm10-K
AMD 2008 Annual ReportonForm10-Kfinance34
 
04 amd fad2010 emilio_ghilardi_final
04 amd fad2010 emilio_ghilardi_final04 amd fad2010 emilio_ghilardi_final
04 amd fad2010 emilio_ghilardi_finalAMD
 
AMD Financial Analyst\'s Day
AMD Financial Analyst\'s DayAMD Financial Analyst\'s Day
AMD Financial Analyst\'s Dayjworth
 
Seyer June06 Analyst Day
Seyer June06 Analyst DaySeyer June06 Analyst Day
Seyer June06 Analyst Day
lalowder
 
AMD Financial Analyst Day
AMD Financial Analyst DayAMD Financial Analyst Day
AMD Financial Analyst DayAMD
 
Nta Streamlined Ll
Nta    Streamlined LlNta    Streamlined Ll
Nta Streamlined Ll
lalowder
 
PT-4052, Introduction to AMD Developer Tools, by Yaki Tebeka and Gordon Selley
PT-4052, Introduction to AMD Developer Tools, by Yaki Tebeka and Gordon SelleyPT-4052, Introduction to AMD Developer Tools, by Yaki Tebeka and Gordon Selley
PT-4052, Introduction to AMD Developer Tools, by Yaki Tebeka and Gordon Selley
AMD Developer Central
 
02 amd fad2010 dirk_meyer_final
02 amd fad2010 dirk_meyer_final02 amd fad2010 dirk_meyer_final
02 amd fad2010 dirk_meyer_finalAMD
 

Viewers also liked (20)

122 2016 ii-italian guidelines
122   2016   ii-italian guidelines122   2016   ii-italian guidelines
122 2016 ii-italian guidelines
 
EmpresaCopix
EmpresaCopixEmpresaCopix
EmpresaCopix
 
CADRUL LEGAL PENTRU DESFASURAREA ACTIVITATII DE APICULTOR
CADRUL LEGAL PENTRU DESFASURAREA ACTIVITATII DE APICULTORCADRUL LEGAL PENTRU DESFASURAREA ACTIVITATII DE APICULTOR
CADRUL LEGAL PENTRU DESFASURAREA ACTIVITATII DE APICULTOR
 
Termo04
Termo04Termo04
Termo04
 
Varela elsa-capa yapa-1
Varela elsa-capa yapa-1Varela elsa-capa yapa-1
Varela elsa-capa yapa-1
 
Web 2.0
Web 2.0Web 2.0
Web 2.0
 
Cad. inglês
Cad. inglêsCad. inglês
Cad. inglês
 
AMD-WWSBC_English_1108
AMD-WWSBC_English_1108AMD-WWSBC_English_1108
AMD-WWSBC_English_1108
 
By Vikrant Desai
By Vikrant DesaiBy Vikrant Desai
By Vikrant Desai
 
AMD Second Quarter 2013 Earnings Results Summary
AMD Second Quarter 2013 Earnings Results SummaryAMD Second Quarter 2013 Earnings Results Summary
AMD Second Quarter 2013 Earnings Results Summary
 
Ces08
Ces08Ces08
Ces08
 
AMD 2008 Annual ReportonForm10-K
AMD 2008 Annual ReportonForm10-KAMD 2008 Annual ReportonForm10-K
AMD 2008 Annual ReportonForm10-K
 
AMD
AMDAMD
AMD
 
04 amd fad2010 emilio_ghilardi_final
04 amd fad2010 emilio_ghilardi_final04 amd fad2010 emilio_ghilardi_final
04 amd fad2010 emilio_ghilardi_final
 
AMD Financial Analyst\'s Day
AMD Financial Analyst\'s DayAMD Financial Analyst\'s Day
AMD Financial Analyst\'s Day
 
Seyer June06 Analyst Day
Seyer June06 Analyst DaySeyer June06 Analyst Day
Seyer June06 Analyst Day
 
AMD Financial Analyst Day
AMD Financial Analyst DayAMD Financial Analyst Day
AMD Financial Analyst Day
 
Nta Streamlined Ll
Nta    Streamlined LlNta    Streamlined Ll
Nta Streamlined Ll
 
PT-4052, Introduction to AMD Developer Tools, by Yaki Tebeka and Gordon Selley
PT-4052, Introduction to AMD Developer Tools, by Yaki Tebeka and Gordon SelleyPT-4052, Introduction to AMD Developer Tools, by Yaki Tebeka and Gordon Selley
PT-4052, Introduction to AMD Developer Tools, by Yaki Tebeka and Gordon Selley
 
02 amd fad2010 dirk_meyer_final
02 amd fad2010 dirk_meyer_final02 amd fad2010 dirk_meyer_final
02 amd fad2010 dirk_meyer_final
 

Similar to SDC Server Sao Jose

AMD Opteron 6000 Series Platform Press Presentation
AMD Opteron 6000 Series Platform Press PresentationAMD Opteron 6000 Series Platform Press Presentation
AMD Opteron 6000 Series Platform Press PresentationAMD
 
Computação acelerada – a era das ap us roberto brandão, ciência
Computação acelerada – a era das ap us   roberto brandão,  ciênciaComputação acelerada – a era das ap us   roberto brandão,  ciência
Computação acelerada – a era das ap us roberto brandão, ciênciaCampus Party Brasil
 
AMD Opteron 4000 Series Platform Press Presentation
AMD Opteron 4000 Series Platform Press PresentationAMD Opteron 4000 Series Platform Press Presentation
AMD Opteron 4000 Series Platform Press PresentationAMD
 
9/ IBM POWER @ OPEN'16
9/ IBM POWER @ OPEN'169/ IBM POWER @ OPEN'16
9/ IBM POWER @ OPEN'16
Kangaroot
 
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 fin
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 finCерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 fin
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 fin
DEPO Computers
 
Six-Core AMD Opteron EE Processor
Six-Core AMD Opteron EE ProcessorSix-Core AMD Opteron EE Processor
Six-Core AMD Opteron EE ProcessorAMD
 
組み込みから HPC まで ARM コアで実現するエコシステム
組み込みから HPC まで ARM コアで実現するエコシステム組み込みから HPC まで ARM コアで実現するエコシステム
組み込みから HPC まで ARM コアで実現するエコシステム
Shinnosuke Furuya
 
Infrastruttura Efficiente Di Sun E Amd -Virtualise with Confidence
Infrastruttura Efficiente Di Sun E Amd -Virtualise with ConfidenceInfrastruttura Efficiente Di Sun E Amd -Virtualise with Confidence
Infrastruttura Efficiente Di Sun E Amd -Virtualise with Confidence
Walter Moriconi
 
Impact of Intel Optane Technology on HPC
Impact of Intel Optane Technology on HPCImpact of Intel Optane Technology on HPC
Impact of Intel Optane Technology on HPC
MemVerge
 
Supermicro’s Universal GPU: Modular, Standards Based and Built for the Future
Supermicro’s Universal GPU: Modular, Standards Based and Built for the FutureSupermicro’s Universal GPU: Modular, Standards Based and Built for the Future
Supermicro’s Universal GPU: Modular, Standards Based and Built for the Future
Rebekah Rodriguez
 
NVIDIA DGX User Group 1st Meet Up_30 Apr 2021.pdf
NVIDIA DGX User Group 1st Meet Up_30 Apr 2021.pdfNVIDIA DGX User Group 1st Meet Up_30 Apr 2021.pdf
NVIDIA DGX User Group 1st Meet Up_30 Apr 2021.pdf
MuhammadAbdullah311866
 
Evolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server SolutionEvolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server Solution
NVIDIA Taiwan
 
Deep Dive On Intel Optane SSDs And New Server Platforms
Deep Dive On Intel Optane SSDs And New Server PlatformsDeep Dive On Intel Optane SSDs And New Server Platforms
Deep Dive On Intel Optane SSDs And New Server Platforms
NEXTtour
 
BURA Supercomputer
BURA SupercomputerBURA Supercomputer
BURA Supercomputer
SIMTEC Software and Services
 
Heterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsHeterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of Systems
Anand Haridass
 
Future Commodity Chip Called CELL for HPC
Future Commodity Chip Called CELL for HPCFuture Commodity Chip Called CELL for HPC
Future Commodity Chip Called CELL for HPC
Slide_N
 
DPDK: Multi Architecture High Performance Packet Processing
DPDK: Multi Architecture High Performance Packet ProcessingDPDK: Multi Architecture High Performance Packet Processing
DPDK: Multi Architecture High Performance Packet Processing
Michelle Holley
 
Industry’s performance leading ultra low-power dsp solution
Industry’s performance leading ultra low-power dsp solutionIndustry’s performance leading ultra low-power dsp solution
Industry’s performance leading ultra low-power dsp solution
Analog Devices, Inc.
 

Similar to SDC Server Sao Jose (20)

AMD Opteron 6000 Series Platform Press Presentation
AMD Opteron 6000 Series Platform Press PresentationAMD Opteron 6000 Series Platform Press Presentation
AMD Opteron 6000 Series Platform Press Presentation
 
Computação acelerada – a era das ap us roberto brandão, ciência
Computação acelerada – a era das ap us   roberto brandão,  ciênciaComputação acelerada – a era das ap us   roberto brandão,  ciência
Computação acelerada – a era das ap us roberto brandão, ciência
 
AMD Opteron 4000 Series Platform Press Presentation
AMD Opteron 4000 Series Platform Press PresentationAMD Opteron 4000 Series Platform Press Presentation
AMD Opteron 4000 Series Platform Press Presentation
 
Amd processor
Amd processorAmd processor
Amd processor
 
No[1][1]
No[1][1]No[1][1]
No[1][1]
 
9/ IBM POWER @ OPEN'16
9/ IBM POWER @ OPEN'169/ IBM POWER @ OPEN'16
9/ IBM POWER @ OPEN'16
 
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 fin
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 finCерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 fin
Cерверы Depo storm 3400 на базе новейших процессоров intel xeon e5 2600v3 fin
 
Six-Core AMD Opteron EE Processor
Six-Core AMD Opteron EE ProcessorSix-Core AMD Opteron EE Processor
Six-Core AMD Opteron EE Processor
 
組み込みから HPC まで ARM コアで実現するエコシステム
組み込みから HPC まで ARM コアで実現するエコシステム組み込みから HPC まで ARM コアで実現するエコシステム
組み込みから HPC まで ARM コアで実現するエコシステム
 
Infrastruttura Efficiente Di Sun E Amd -Virtualise with Confidence
Infrastruttura Efficiente Di Sun E Amd -Virtualise with ConfidenceInfrastruttura Efficiente Di Sun E Amd -Virtualise with Confidence
Infrastruttura Efficiente Di Sun E Amd -Virtualise with Confidence
 
Impact of Intel Optane Technology on HPC
Impact of Intel Optane Technology on HPCImpact of Intel Optane Technology on HPC
Impact of Intel Optane Technology on HPC
 
Supermicro’s Universal GPU: Modular, Standards Based and Built for the Future
Supermicro’s Universal GPU: Modular, Standards Based and Built for the FutureSupermicro’s Universal GPU: Modular, Standards Based and Built for the Future
Supermicro’s Universal GPU: Modular, Standards Based and Built for the Future
 
NVIDIA DGX User Group 1st Meet Up_30 Apr 2021.pdf
NVIDIA DGX User Group 1st Meet Up_30 Apr 2021.pdfNVIDIA DGX User Group 1st Meet Up_30 Apr 2021.pdf
NVIDIA DGX User Group 1st Meet Up_30 Apr 2021.pdf
 
Evolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server SolutionEvolution of Supermicro GPU Server Solution
Evolution of Supermicro GPU Server Solution
 
Deep Dive On Intel Optane SSDs And New Server Platforms
Deep Dive On Intel Optane SSDs And New Server PlatformsDeep Dive On Intel Optane SSDs And New Server Platforms
Deep Dive On Intel Optane SSDs And New Server Platforms
 
BURA Supercomputer
BURA SupercomputerBURA Supercomputer
BURA Supercomputer
 
Heterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of SystemsHeterogeneous Computing : The Future of Systems
Heterogeneous Computing : The Future of Systems
 
Future Commodity Chip Called CELL for HPC
Future Commodity Chip Called CELL for HPCFuture Commodity Chip Called CELL for HPC
Future Commodity Chip Called CELL for HPC
 
DPDK: Multi Architecture High Performance Packet Processing
DPDK: Multi Architecture High Performance Packet ProcessingDPDK: Multi Architecture High Performance Packet Processing
DPDK: Multi Architecture High Performance Packet Processing
 
Industry’s performance leading ultra low-power dsp solution
Industry’s performance leading ultra low-power dsp solutionIndustry’s performance leading ultra low-power dsp solution
Industry’s performance leading ultra low-power dsp solution
 

More from Roberto Brandao

Apresentacao + Demo Brazos
Apresentacao + Demo BrazosApresentacao + Demo Brazos
Apresentacao + Demo BrazosRoberto Brandao
 
Amd accelerated computing -ufrj
Amd   accelerated computing -ufrjAmd   accelerated computing -ufrj
Amd accelerated computing -ufrj
Roberto Brandao
 
Webseminario AMD phenom II x6
Webseminario AMD phenom II x6Webseminario AMD phenom II x6
Webseminario AMD phenom II x6Roberto Brandao
 
Web Seminario Athlon Ii
Web Seminario Athlon IiWeb Seminario Athlon Ii
Web Seminario Athlon Ii
Roberto Brandao
 
Atualização Canal Phenom I I X2 7000 Outras C P Us Dragon
Atualização  Canal    Phenom  I I    X2 7000    Outras  C P Us    DragonAtualização  Canal    Phenom  I I    X2 7000    Outras  C P Us    Dragon
Atualização Canal Phenom I I X2 7000 Outras C P Us DragonRoberto Brandao
 
Chipsets Amd Webseminario
Chipsets Amd WebseminarioChipsets Amd Webseminario
Chipsets Amd WebseminarioRoberto Brandao
 
AtualizaçãO Desktops Mobile Para Consumer
AtualizaçãO Desktops Mobile Para ConsumerAtualizaçãO Desktops Mobile Para Consumer
AtualizaçãO Desktops Mobile Para ConsumerRoberto Brandao
 
Roadshow Canal AMD
Roadshow Canal AMDRoadshow Canal AMD
Roadshow Canal AMD
Roberto Brandao
 

More from Roberto Brandao (10)

Apresentacao + Demo Brazos
Apresentacao + Demo BrazosApresentacao + Demo Brazos
Apresentacao + Demo Brazos
 
Amd accelerated computing -ufrj
Amd   accelerated computing -ufrjAmd   accelerated computing -ufrj
Amd accelerated computing -ufrj
 
Webseminario AMD phenom II x6
Webseminario AMD phenom II x6Webseminario AMD phenom II x6
Webseminario AMD phenom II x6
 
Web Seminario Athlon Ii
Web Seminario Athlon IiWeb Seminario Athlon Ii
Web Seminario Athlon Ii
 
Atualização Canal Phenom I I X2 7000 Outras C P Us Dragon
Atualização  Canal    Phenom  I I    X2 7000    Outras  C P Us    DragonAtualização  Canal    Phenom  I I    X2 7000    Outras  C P Us    Dragon
Atualização Canal Phenom I I X2 7000 Outras C P Us Dragon
 
AMD Green
AMD GreenAMD Green
AMD Green
 
Chipsets Amd Webseminario
Chipsets Amd WebseminarioChipsets Amd Webseminario
Chipsets Amd Webseminario
 
Web Seminario Phenom X3
Web Seminario Phenom X3Web Seminario Phenom X3
Web Seminario Phenom X3
 
AtualizaçãO Desktops Mobile Para Consumer
AtualizaçãO Desktops Mobile Para ConsumerAtualizaçãO Desktops Mobile Para Consumer
AtualizaçãO Desktops Mobile Para Consumer
 
Roadshow Canal AMD
Roadshow Canal AMDRoadshow Canal AMD
Roadshow Canal AMD
 

Recently uploaded

PHP Frameworks: I want to break free (IPC Berlin 2024)
PHP Frameworks: I want to break free (IPC Berlin 2024)PHP Frameworks: I want to break free (IPC Berlin 2024)
PHP Frameworks: I want to break free (IPC Berlin 2024)
Ralf Eggert
 
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdfFIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance
 
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdfFIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
FIDO Alliance
 
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdfSmart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
91mobiles
 
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Tobias Schneck
 
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 previewState of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
Prayukth K V
 
Knowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and backKnowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and back
Elena Simperl
 
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered QualitySoftware Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Inflectra
 
When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...
Elena Simperl
 
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
Product School
 
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Product School
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
Product School
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Thierry Lestable
 
Accelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish CachingAccelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish Caching
Thijs Feryn
 
ODC, Data Fabric and Architecture User Group
ODC, Data Fabric and Architecture User GroupODC, Data Fabric and Architecture User Group
ODC, Data Fabric and Architecture User Group
CatarinaPereira64715
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
James Anderson
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Product School
 
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Ramesh Iyer
 
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
UiPathCommunity
 

Recently uploaded (20)

PHP Frameworks: I want to break free (IPC Berlin 2024)
PHP Frameworks: I want to break free (IPC Berlin 2024)PHP Frameworks: I want to break free (IPC Berlin 2024)
PHP Frameworks: I want to break free (IPC Berlin 2024)
 
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdfFIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
 
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdfFIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
FIDO Alliance Osaka Seminar: FIDO Security Aspects.pdf
 
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdfSmart TV Buyer Insights Survey 2024 by 91mobiles.pdf
Smart TV Buyer Insights Survey 2024 by 91mobiles.pdf
 
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
 
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 previewState of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
 
Knowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and backKnowledge engineering: from people to machines and back
Knowledge engineering: from people to machines and back
 
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered QualitySoftware Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
 
When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...When stars align: studies in data quality, knowledge graphs, and machine lear...
When stars align: studies in data quality, knowledge graphs, and machine lear...
 
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
De-mystifying Zero to One: Design Informed Techniques for Greenfield Innovati...
 
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
 
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
Empowering NextGen Mobility via Large Action Model Infrastructure (LAMI): pav...
 
Accelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish CachingAccelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish Caching
 
ODC, Data Fabric and Architecture User Group
ODC, Data Fabric and Architecture User GroupODC, Data Fabric and Architecture User Group
ODC, Data Fabric and Architecture User Group
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
 
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
Builder.ai Founder Sachin Dev Duggal's Strategic Approach to Create an Innova...
 
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
Dev Dives: Train smarter, not harder – active learning and UiPath LLMs for do...
 

SDC Server Sao Jose

  • 1.
  • 2.
  • 3. AMD computing products Notebooks 1 and 2 cores Platform certification Servers 2 and 4 cores Up to 8 processors FireStream accelerators Desktops Up to 4 cores Native multi-core Infrastructure Chipsets Graphics cards
  • 4. The Green IT Challenge
  • 5. The Green IT Challenge
  • 6.
  • 7.
  • 9. 8-core system comparison AMD FSB FSB Hyper Transport CS MEMORY MEMORY MEMORY Twice the number of memory controllers Moving data affected by FSB Bottleneck
  • 10. HPC Performance Advantage 100% = Xeon E5472 13% 19% The results stated above reflect results published on www.spec.org and www.amd.com as of June 23, 2008. The STREAM, FLUENT, STAR-CD, and LS-DYNA comparisons above are based on results measured in AMD’s performance lab. For the latest results visit http://www.spec.org/ and http://www.amd.com . 17% Average Performance Advantage for Opteron 2356
  • 11. The Clear Leader for HPC Efficiency Based on measurements at AMD performance labs as of June 23, 2008. FLUENT results based on FLUENT 12 beta release from ANSYS, Inc. Performance on the final software release may vary. LS-DYNA results based on LS-DYNA mpp970 release from LSTC. STAR-CD results based on STAR-CD v4.02 release from CD-adapco. Higher performance and lower power consumption – the CLEAR leader Benchmark Rating (higher is better) Energy Usage (lower is better) Rating per Energy Usage (higher is better) FLUENT (CFD) sedan_4m, 8 cores 133% 86.7% 154% LS-DYNA (FEA) 3 Vehicle Collision, 8 cores 114% 99.6% 115% STAR-CD (CFD) A_Class_6m, 8 cores 125% 93.9% 133% Relative to Xeon E5472 processor-based server
  • 12. Introducing “Shanghai” The new price/performance-per-watt leader for x86 servers. 1 Immersion lithography contributes to major power and performance benefits 2 Still the industry’s most manageable and consistent server platform 3 New innovations result in superior virtualization and power management capabilities 4 Unprecedented levels of price/performance for businesses 5
  • 13. AMD Technology Leadership Roadmap 180nm 130nm 90nm 65nm 45nm 32nm 2000 2002 2004 2006 2008 2010 Cu Interconnect Low-K dielectric Strained-Si Multi-Strain Transistor 130nm 2003 SOI 2003 AMD Opteron™ 2005 Dual-Core AMD Opteron™ 2007 Quad-Core AMD Opteron™
  • 14. Previous-generation innovations that continue Independent Dynamic Core Technology AMD CoolCore™ Technology Dual Dynamic Power Management™ Low-Power DDR2 Memory … and these power-efficiency features AMD Wide Floating- Point Accelerator AMD Memory Optimizer Technology Dual Dynamic Power Management™ AMD Balanced Smart Cache These performance-enhancing features… Low-Power DDR2 Memory Dual Dynamic Power Management™ ~ 150% 100% Dual-Core* Quad-Core* *Stream bandwidth comparison of Second-Generation & Third-Generation AMD Opteron™ processor
  • 15.
  • 16.
  • 18. Acceleration Concepts Reduction in Latency Add-in Chipset “ Socket Filler” Package Integration Core Integration Outside the system Closer to the cores Chipset PCI-E A c c e l e r a t o r CPU Accelerator AMD Opteron Socket AMD Opteron Socket Opteron Socket PCIe Accelerator HTX Accelerator Accelerator
  • 19.
  • 21. AMD in 7 of the Top10
  • 22. AMD native quad-core FSB HyperTransport AMD64 Cache L3 PCI-e PCI-e Chipset Chipset Memory controller Memory controller Hyper Transport Interface Core 1 Core 2 Core 1 Cache L2 CROSSBAR Core 3 Core 4 Cache L2 Cache L2 Core 2 Cache L2 Core 3 Cache L2 Core 4 Cache L2
  • 23. Evolving to enable Fusion 2008 GPU – Crossbar 2006 GPU – Ring Bus
  • 24.
  • 25.
  • 26. AMD + Tyan + SDC
  • 28.