SlideShare a Scribd company logo
1 of 11
Download to read offline
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
DOI : 10.5121/vlsic.2011.2412 143
USING CMOS SUB-MICRON TECHNOLOGY VLSI
IMPLEMENTATION OF LOW POWER, HIGH SPEED
SRAM CELL AND DRAM CELL
Mr.Viplav A. Soliv1
Dr. Ajay A. Gurjar2
1
Department of Electronics and Telecommunication
Sipna’s college of Engineering & Technology, Amravati.
viplove.soliv@rediffmail.com
2
Department of Electronics and Telecommunication
Sipna’s college of Engineering & Technology, Amravati.
prof_gurjar1928@rediffmail.com
ABSTRACT
Abstract This paper deals with the design and analysis of high speed Static Random Access Memory
(SRAM) cell and Dynamic Random Access Memory (DRAM) cell to develop low power consumption.
SRAM and DRAM cells have been the predominant technologies used to implement memory cells in
computer systems, each one having its advantages and shortcomings. SRAM cells are faster and require no
refresh since reads are not destructive. In contrast, DRAM cells provide higher density and minimal
leakage energy. Here we use 12-transistor SRAM cell built from a simple static latch and tri state inverter.
The reading action itself refreshes the content of memory. The SRAM access path is split into two portions:
from address input to word line rise (the row decoder) and from word line rise to data output (the read
data path). The decoder which constitutes the path from address input to the word line rise is implemented
as a binary structure by implementing a multi-stage path. The key to low power operation in the SRAM
data path is to reduce the signal swings on the high capacitance nodes like the bit lines and the data lines.
KEYWORDS
Keywords SRAM, DRAM, Low power, 12-T SRAM cell
1. INTRODUCTION
Static Random Access Memories (SRAM) and dynamic RAM (DRAM) have been the
predominant technologies used to implement memory cells in computer systems. SRAM cells,
typically implemented with six transistors (6T cells) have been usually designed for speed, while
DRAM cells, implemented with only one capacitor and the corresponding pass transistor (1T1C
cells) have been generally designed for density. Because of this reason, the former technology has
been used to implement cache memories and the latter for main memory storage. Cache memories
occupy an important percentage of the overall die area. A major drawback of these memories is
the amount of dissipated static energy or leakage, which is proportional to the number of
transistors used to implement these structures. However, although leakage currents are reduced,
they still persist. In contrast, dynamic 1T1C cells avoid this drawback by design, since the power
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
144
supply is removed after accessing the memory cells. Typically, 1T1C DRAM cells were too slow
to implement processor caches. However, technology advances have recently allowed embedding
DRAM cells using CMOS technology. Despite technology advances, an important drawback of
DRAM cells is that reads are destructive, that is, the capacitor loses its state when it is read.
Table1 summarizes the main design characteristics of the discussed cells. In addition, capacitors
lose their charge a long time, thus they must be recharged or refreshed. To refresh memory cells,
extra refresh logic is required which in turn results not only in additional power consumption but
also in availability overhead.
Table1: Memory Cell Characteristics
1.1 An Introduction to SRAM
The fundamental building block of a static RAM is the SRAM memory cell. The cell is activated
by raising the word line and is read or written through the bit line. Fig (1) shows a 12-transistor
SRAM cell built from a simple static latch and tri-state inverter. The cell has a single bit line.
True and complementary read and write signals are used in place of a single word line. A
representative layout in Fig (3) has an area of 46 x 75 X. The power and ground lines can be
shared between mirrored adjacent cells, but the area is still limited by the wires and is undesirably
large. However, the cell is easy to design because all nodes swing rail-to-rail and it is fast when
used in small RAMs and register files. Fig (2) shows a 6-transistor (6T) SRAM commonly used
in practice. Such a cell uses a single word line and both true and complementary bid lines. The
complementary bit- line is often called bit or bit. The cell contains a pair of cross-coupled
inverters and an access transistor for each bit line. True and complementary versions of the data
are stored on the cross-coupled inverters. If the data is disturbed slightly, positive feedback
around the loop will restore it to VDD or GND. The word line is asserted to read or write the cell.
Fig 1: 12-transistor SRAM cell
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
145
Two types of SRAM cells will be considered in this paper. (i) Conventional Twelve-transistor
(12T) SRAM cell, as shown in Figure 1. (ii) Load less six-transistor (6T) SRAM Cell, as shown
in Figure 2. They will be designed and analyzed in various configurations with respect to
functionality, power dissipation, area occupancy, stability and access time. True and
complementary versions of the data are stored on the cross-coupled inverters.
Fig 2: Conventional 6T SRAM Cell
Fig 3: Representative layout of 46 x 75 X
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
146
Fig 4: Schematic of SRAM cell
1.2 An Introduction to DRAM
Dynamic random access memory (DRAM) integrated circuits (ICs) have existed for more than
twenty-five years. DRAMs evolved from the earliest 1-kilobit (Kb) generation to the recent 1-
gigabit (GB) generation through advances in both semiconductor process and circuit design
technology. Tremendous advances in process technology have dramatically reduced feature size,
permitting ever higher levels of integration. These increases in integration have been
accompanied by major improvements in component yield to ensure that overall process solutions
remain cost-effective and competitive. Technology improvements, however, are not limited to
semiconductor processing. Many of the advances in process technology have been accompanied
or enabled by advances in circuit design technology. In most cases, advances in one have enabled
advances in the other. Dynamic RAMs (DRAMs) store their contents as charge on a capacitor
rather than in a feedback loop. Thus, the basic cell is substantially smaller than SRAM, but the
cell must be periodically read and refreshed so that its contents do not leak away. Commercial
DRAMs are built in specialized processes optimized for dense capacitor structures. They offer an
order of magnitude greater density (bits/cm2) than high-performance SRAM built in a standard
logic process, but they also have much higher latency. DRAM circuit design is a very specialized
art. This section provides an overview of the general technique.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
147
A 1-transistor (IT) dynamic RAM cell consists of a transistor and a capacitor, as shown in Figure
(a). Like SRAM, the cell is accessed by asserting the word line to connect the capacitor to the bit
line. On a read, the bit line is first pre charged to VDD/2. When the word line rises, the capacitor
shares its charge with the bit line, causing a voltage change A V that can be sensed, as shown in
Figure (b).
The read disturbs the cell contents at x, so the cell must be rewritten after each read. On a write,
the bit line is driven high or low and the voltage is forced onto the capacitor. Some DRAMs
drive the word line to VDDP = VDD + Vt to avoid a degraded level when writing a '1.' The
DRAM capacitor must be as physically small as possible to achieve good density. However, the
bit line is contacted to many DRAM cells and has a relatively large capacitance C bit. Therefore,
the cell capacitance is typically much smaller than the bit line capacitance.
2. MEMORY ARCHITECTURE
The preferred organization for Random access memories is shown in Fig 5. This organization is
random-access architecture which is an Asynchronous design. The name is derived from the fact
that memory locations (addresses) can be accessed in random order at a fixed rate, independent of
physical location, for reading or writing. The storage array, or core, is made up of simple cell
circuits arranged to share connections in horizontal rows and vertical columns. The horizontal
lines, which are driven only from outside the storage array, are called word lines, while the
vertical lines, along which data flow into and out of cells, are called bit lines.
A cell is accessed for reading or writing by selecting its row and column. Each Cell can store 0 or
1. Memories may simultaneously select 4, 8, 16, 32, or 64columns in one row depending on the
application. The row and column (or groups of columns) to be selected are determined by
decoding binary address information. In this design, the number of rows and columns, both are
equal to 64 for 4Mb memory cut. Using two such memory cuts, a 8Mb SRAM memory is
designed.
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
148
Fig 5: SRAM Memory Architecture
3. SENSE AMPLIFIER
The sense amplifiers have to amplify the data which is present on the bit lines during the read
operation. The memory cells are small in size, and hence cannot the discharge the bit lines fast
enough. Also, the bit lines continue to slew till a large differential voltage is formed between
them. This causes significant power dissipation since the bit lines have large capacitances.
Fig 6: Schematic of sense amplifier
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
149
Hence, by limiting the word line pulse width we can control the amount of charge pulled down by
the bit lines and hence limit power dissipation. The schematic and symbol are as shown below. It
consists of two cross coupled gain stages which are enabled by the sense clock signal. The cross
coupled stage ensures a full amplification of the input signal. This type of amplifier consumes
least amount of power, however they can potentially be slower since some timing margin is
needed for the generation of the sense clock signal. If the sense amplifiers enabled before
sufficient differential voltage is formed, it could lead to a wrong output. Thus, the timing of the
sense clock signal needs to be such that the sense amplifier can operate over various process
corners and temperature ranges.
In this sense amplifier Bit lines have many cells attached. If we take example of that
Ex: 32-kbit SRAM has 256 rows x 128 cols. On each bit line 128 cells are present.
Sense amplifiers are triggered on small voltage swing (reduce ∆V).Even with shared diffusion
contacts, 64C of diffusion capacitance are there. Discharged slowly through small transistors.
4. SIMULATION ENVIRONMENT AND RESULTS
The following configuration of SRAM arrays were designed and analyzed using the conventional
6T SRAM Cell: (a) 1*1 (b) 16*16 (c) 32*32. The various configurations were simulated using
HSPICE using the Nominal Predictive Technology Model (PTM) in 130nm, 90nm and 65nm
CMOS technologies. The functionality of 1*1 6T SRAM cell is shown in Figure. 7 The For 1K-
bit (32*32) configuration along with the relevant input control signals, only the signals for three
input data bits (0th, 16th and 31st), three output data bits (0th,16th and 31st), and the
corresponding storage nodes of the appropriate cell is presented.
Cadence simulation of transient analysis and DC analysis gave good results. The results are
shown in the timing diagram. The noise margins are very good and the output is stable.
(a)
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
150
(b
(c)
Fig 8: Timing Diagram
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
151
5. DESIGN FLOW
Cadence design Systems is electronic design automation software and engineering Services
Company that offers various types of design and verification tasks that include:
Virtuoso Platform - Tools for designing full-custom integrated circuits, includes schematic entry,
behavioral modeling (Verilog-AMS), circuit simulation, full custom layout, physical verification,
extraction and back-annotation.
Encounter Platform - Tools for creation of digital integrated circuits. This includes floor planning,
synthesis, test, and place and route.
Incisive Platform - Tools for simulation and functional verification of RTL including Verilog,
VHDL and System C based models. Includes formal verification, formal equivalence checking,
hardware acceleration, and emulation.
The proposed work is done in Virtuoso platform using gpdk45 nm technology. The flow of
design is as shown below.
Fig 9: Design Flow
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
152
6. CONCLUSION
The New Load less 6T-SRAM cell is designed and analyzed in deep submicron (130nm, 90nm
and 65nm) CMOS technologies, which establish the technology independence of the New Load
less 4T SRAM cell and its consistent performance with respect to Conventional 6T SRAM cell in
deep sub-micron regime. The New Load less 6T SRAM array consumes low power with low
area. The most significant feature of this new load less 4T SRAM Cell is that there is no need to
modify any of the fabrication process. Thus it can be used for on-chip caches in embedded
microprocessors, high density SRAMs embedded in any logic devices, as well as for stand-alone
SRAM applications.
This paper presents the design of SRAM array in 45 nm having very low power consumption.
The low power design of SRAM is investigated and 6T SRAM architecture is chosen for memory
bit cell and an array is designed with that bit cell. Transient and parametric analyses were carried
out in the simulation process and the power consumption is estimated. As stated earlier, the power
consumption can further be reduced by partitioning the array and by using DWL scheme.
7. REFERENCES
[1] M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, and T.
Kawahara, “90-nm process-variation adaptive embedded SRAM modules with power-line-floating
write technique,” IEEE J. Solid-State Circuits, vol. 41, no. 3, pp. 705–711,Mar. 2006.
[2] E. Seevinck et al., "Static-noise margin analysis of MOS SRAM cells," IEEE J. Solid-State Circuits,
vol. SC-22, no. 2, pp. 748-754, May 1987.
[3] Sreerama Reddy G.M, P.Chandrashekara Reddy “Design and VLSI Implementation of 8 Mb Low
Power SRAM in 90nm” European Journal of Scientific Research ISSN 1450-216X Vol.26 No.2
(2009), pp.305-314.
[4] Anne-Johan Annema, Member, IEEE, Bram Nauta, Senior Member, IEEE, Ronald van Langevelde,
Member, IEEE and Hans Tuinhout “Analog Circuits in Ultra-Deep-Submicron CMOS” IEEE
JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 1, JANUARY 2005.
[5] “Dynamic RAM” ELEC 464 : MICROCOMPUTER SYSTEM DESIGN 1996/97 WINTER
SESSION TERM 1
[6] John Poulton, “An Embedded DRAM for CMOS ASICs”
[7] Alejandro Valero, Julio Sahuquillo, Salvador Petit, Vicente Lorente,Ramon Canal, Pedro López, José
Duato “An Hybrid eDRAM/SRAM Macrocell to Implement First-Level Data Caches”
[8] James S. Caravella, “A Low Voltage SRAM for EmbeddedApplications”, IEEE Journal of Solid-State
Circuits, vol. 32, no. 3, pp. 428 – 432, March 1997.
[9] Delaluz, V., Sivasubramaniam, A., Kendemir, M., Vijaykrishnan N., and Irwin, M.,Scheduler-Based
DRAM Energy Management, Design Automation Con-ference, 2002.
[10] M. Yamaoka, K. Osada, R. Tsuchiya, M. Horiuchi, S. Kimura, and T. Kawahara, “Low power SRAM
menu for SOC application using Yin- Yang-feedback memory cell technology,” in Symp. VLSI
Technology 2004 Dig. Tech. Papers, Jun. 2004, pp. 288–291.
[11] Neeraj Kr. Shukla, R.K.Singh, Manisha Pattanaik “Design and Analysis of a Novel Low-Power
SRAM Bit-Cell Structure at Deep-Sub-Micron CMOS Technology for Mobile Multimedia
International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011
153
Applications” (IJACSA) International Journal of Advanced Computer Science and Applications, Vol.
2, No. 5, 2011
[12] Brent Keeth “A NOVEL ARCHITECTURE FOR ADVANCED HIGH DENSITY DYNAMIC
RANDOM ACCESS MEMORIES” May 1996
[13] Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei David Brooks “REPLACING 6T SRAMS WITH 3T1D
DRAMS IN THE L1 DATA CACHE TO COMBAT PROCESS VARIABILITY” IEEE 0272-
1732/08/$20.0 G 2008 JANUARY–FEBRUARY 2008.
[14] Paridhi Athe, S. Dasgupta “A Comparative Study of 6T, 8T and 9T Decanano SRAM cell” 2009
IEEE Symposium on Industrial Electronics and Applications (ISIEA 2009), October 4-6, 2009, Kuala
Lumpur, Malaysia.
[15] Pramod Kolar, Member, IEEE, Eric Karl, Member, IEEE, Uddalak Bhattacharya, Member, IEEE,
Fatih Hamzaoglu, Member, IEEE, Henry Nho, Yong-Gee Ng, Yih Wang, Member, IEEE, and Kevin
Zhang, Senior Member, IEEE “A 32 nm High-k Metal Gate SRAM With Adaptive Dynamic Stability
Enhancement for Low-Voltage Operation” IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL.
46, NO. 1, JANUARY 2011.
[16] Sandeep R, Narayan T Deshpande, A R Aswatha, “Design and Analysis of a New Loadless 4T
SRAM Cell in Deep Submicron CMOS Technologies” Second International Conference on Emerging
Trends in Engineering and Technology, ICETET-09.
[17] James S. Caravella, “A Low Voltage SRAM for EmbeddedApplications”, IEEE Journal of Solid-State
Circuits, vol. 32, no. 3,pp. 428 – 432, March 1997.
[18] Jinshen Yang and Li Chen, “A New loadless 4-transistor SRAM cell with a 0.18µm CMOS
technology”, Electrical and Computer Engineering, CCECE Canadian Conference, pp. 538 – 541,
April 2007.
[19] P.Y. Chee, P.C. Liu, L. Siek, “High-speed hybrid current-mode sense amplifier for CMOS SRAMs”,
ELECTRONICS LETTERS, vol. 28, no. 9, April 1992.
[20] Jinn-Shyan Wang, Hong-Yu Lee, “A new current-mode sense amplifier for low- voltage low-power
SRAM design”, Eleventh Annual IEEE International Proceeding of ASIC, pp.163-167, Sep. 1998.
Authors
Mr. Viplav A. Soliv is currently working as a lecturer in Electronics and
Telecommunication Engineering Department, Sipna College of Engineering, Amravati
(India) since 2010. He is also persuing his M.E. in Digital Electronics from the same
institute. His areas of interest are Digital System Design, VLSI Design.
viplove.soliv@rediffmail.com
Dr. Ajay A. Gurjar is currently working as a Professor in Electronics and
Telecommunication Engineering Department, Sipna College of Engineering; Amravati
(India).He also completed his Ph.D in signal processing. His areas of interest are Digital
System Design, VLSI Design, Image Processing, and Signal processing.
prof_gurjar1928@rediffmail.com

More Related Content

What's hot

MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cellidescitation
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellIDES Editor
 
Built-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using RedundancyBuilt-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using RedundancyIDES Editor
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)theijes
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellVishwanath Hiremath
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET Journal
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell Ieee Xpert
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)IJERD Editor
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentIJERD Editor
 
Advance computer architecture
Advance computer architecture Advance computer architecture
Advance computer architecture SabthamiS1
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Scienceresearchinventy
 

What's hot (18)

MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
ThePaper (1)
ThePaper (1)ThePaper (1)
ThePaper (1)
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM CellProcess Variation and Radiation-Immune Single Ended 6T SRAM Cell
Process Variation and Radiation-Immune Single Ended 6T SRAM Cell
 
Af34193199
Af34193199Af34193199
Af34193199
 
Built-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using RedundancyBuilt-in Self Repair for SRAM Array using Redundancy
Built-in Self Repair for SRAM Array using Redundancy
 
The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)The International Journal of Engineering and Science (The IJES)
The International Journal of Engineering and Science (The IJES)
 
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM CellSingle Ended Schmitt Trigger Based Robust Low Power SRAM Cell
Single Ended Schmitt Trigger Based Robust Low Power SRAM Cell
 
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...
 
SRAM
SRAMSRAM
SRAM
 
Ih2514851489
Ih2514851489Ih2514851489
Ih2514851489
 
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
A Single-Ended With Dynamic Feedback Control 8T Subthreshold SRAM Cell
 
International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)International Journal of Engineering Research and Development (IJERD)
International Journal of Engineering Research and Development (IJERD)
 
Improving DRAM performance
Improving DRAM performanceImproving DRAM performance
Improving DRAM performance
 
IEEExeonmem
IEEExeonmemIEEExeonmem
IEEExeonmem
 
International Journal of Engineering Research and Development
International Journal of Engineering Research and DevelopmentInternational Journal of Engineering Research and Development
International Journal of Engineering Research and Development
 
Advance computer architecture
Advance computer architecture Advance computer architecture
Advance computer architecture
 
Research Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and ScienceResearch Inventy : International Journal of Engineering and Science
Research Inventy : International Journal of Engineering and Science
 

Similar to Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed SRAM Cell and DRAM Cell

Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionTime and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionIJMTST Journal
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERIRJET Journal
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memoryiosrjce
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET Journal
 
DESIGN AND IMPLEMENTATION OF 4T, 3T AND 3T1D DRAM CELL DESIGN ON 32 NM TECHNO...
DESIGN AND IMPLEMENTATION OF 4T, 3T AND 3T1D DRAM CELL DESIGN ON 32 NM TECHNO...DESIGN AND IMPLEMENTATION OF 4T, 3T AND 3T1D DRAM CELL DESIGN ON 32 NM TECHNO...
DESIGN AND IMPLEMENTATION OF 4T, 3T AND 3T1D DRAM CELL DESIGN ON 32 NM TECHNO...VLSICS Design
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...VLSICS Design
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sramIAEME Publication
 
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...VLSICS Design
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellIJERA Editor
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMIJSRED
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
 

Similar to Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed SRAM Cell and DRAM Cell (20)

Sram pdf
Sram pdfSram pdf
Sram pdf
 
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data RetentionTime and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
Time and Low Power Operation Using Embedded Dram to Gain Cell Data Retention
 
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIERSRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
SRAM BASED IN-MEMORY MATRIX VECTOR MULTIPLIER
 
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic LogicIRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
IRJET- Deisgn of Low Power 16x16 Sram with Adiabatic Logic
 
DESIGN AND IMPLEMENTATION OF 4T, 3T AND 3T1D DRAM CELL DESIGN ON 32 NM TECHNO...
DESIGN AND IMPLEMENTATION OF 4T, 3T AND 3T1D DRAM CELL DESIGN ON 32 NM TECHNO...DESIGN AND IMPLEMENTATION OF 4T, 3T AND 3T1D DRAM CELL DESIGN ON 32 NM TECHNO...
DESIGN AND IMPLEMENTATION OF 4T, 3T AND 3T1D DRAM CELL DESIGN ON 32 NM TECHNO...
 
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
PERFORMANCE EVALUATION OF DIFFERENT SRAM CELL STRUCTURES AT DIFFERENT TECHNOL...
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
An improvised design implementation of sram
An improvised design implementation of sramAn improvised design implementation of sram
An improvised design implementation of sram
 
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
Design and implementation of 4 t, 3t and 3t1d dram cell design on 32 nm techn...
 
30_Design.pdf
30_Design.pdf30_Design.pdf
30_Design.pdf
 
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM CellAn Innovative Design solution for minimizing Power Dissipation in SRAM Cell
An Innovative Design solution for minimizing Power Dissipation in SRAM Cell
 
Presentation1
Presentation1Presentation1
Presentation1
 
Nayer@jjj
Nayer@jjjNayer@jjj
Nayer@jjj
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
Advantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAMAdvantages of 64 Bit 5T SRAM
Advantages of 64 Bit 5T SRAM
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMIMPLEMENTATION OF LOW POWER ADIABATIC SRAM
IMPLEMENTATION OF LOW POWER ADIABATIC SRAM
 

Recently uploaded

Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLDeelipZope
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escortsranjana rawat
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfAsst.prof M.Gokilavani
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 

Recently uploaded (20)

Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Current Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCLCurrent Transformer Drawing and GTP for MSETCL
Current Transformer Drawing and GTP for MSETCL
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Serviceyoung call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
young call girls in Rajiv Chowk🔝 9953056974 🔝 Delhi escort Service
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
(MEERA) Dapodi Call Girls Just Call 7001035870 [ Cash on Delivery ] Pune Escorts
 
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
VICTOR MAESTRE RAMIREZ - Planetary Defender on NASA's Double Asteroid Redirec...
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdfCCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
CCS355 Neural Network & Deep Learning UNIT III notes and Question bank .pdf
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 

Using CMOS Sub-Micron Technology VLSI Implementation of Low Power, High Speed SRAM Cell and DRAM Cell

  • 1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 DOI : 10.5121/vlsic.2011.2412 143 USING CMOS SUB-MICRON TECHNOLOGY VLSI IMPLEMENTATION OF LOW POWER, HIGH SPEED SRAM CELL AND DRAM CELL Mr.Viplav A. Soliv1 Dr. Ajay A. Gurjar2 1 Department of Electronics and Telecommunication Sipna’s college of Engineering & Technology, Amravati. viplove.soliv@rediffmail.com 2 Department of Electronics and Telecommunication Sipna’s college of Engineering & Technology, Amravati. prof_gurjar1928@rediffmail.com ABSTRACT Abstract This paper deals with the design and analysis of high speed Static Random Access Memory (SRAM) cell and Dynamic Random Access Memory (DRAM) cell to develop low power consumption. SRAM and DRAM cells have been the predominant technologies used to implement memory cells in computer systems, each one having its advantages and shortcomings. SRAM cells are faster and require no refresh since reads are not destructive. In contrast, DRAM cells provide higher density and minimal leakage energy. Here we use 12-transistor SRAM cell built from a simple static latch and tri state inverter. The reading action itself refreshes the content of memory. The SRAM access path is split into two portions: from address input to word line rise (the row decoder) and from word line rise to data output (the read data path). The decoder which constitutes the path from address input to the word line rise is implemented as a binary structure by implementing a multi-stage path. The key to low power operation in the SRAM data path is to reduce the signal swings on the high capacitance nodes like the bit lines and the data lines. KEYWORDS Keywords SRAM, DRAM, Low power, 12-T SRAM cell 1. INTRODUCTION Static Random Access Memories (SRAM) and dynamic RAM (DRAM) have been the predominant technologies used to implement memory cells in computer systems. SRAM cells, typically implemented with six transistors (6T cells) have been usually designed for speed, while DRAM cells, implemented with only one capacitor and the corresponding pass transistor (1T1C cells) have been generally designed for density. Because of this reason, the former technology has been used to implement cache memories and the latter for main memory storage. Cache memories occupy an important percentage of the overall die area. A major drawback of these memories is the amount of dissipated static energy or leakage, which is proportional to the number of transistors used to implement these structures. However, although leakage currents are reduced, they still persist. In contrast, dynamic 1T1C cells avoid this drawback by design, since the power
  • 2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 144 supply is removed after accessing the memory cells. Typically, 1T1C DRAM cells were too slow to implement processor caches. However, technology advances have recently allowed embedding DRAM cells using CMOS technology. Despite technology advances, an important drawback of DRAM cells is that reads are destructive, that is, the capacitor loses its state when it is read. Table1 summarizes the main design characteristics of the discussed cells. In addition, capacitors lose their charge a long time, thus they must be recharged or refreshed. To refresh memory cells, extra refresh logic is required which in turn results not only in additional power consumption but also in availability overhead. Table1: Memory Cell Characteristics 1.1 An Introduction to SRAM The fundamental building block of a static RAM is the SRAM memory cell. The cell is activated by raising the word line and is read or written through the bit line. Fig (1) shows a 12-transistor SRAM cell built from a simple static latch and tri-state inverter. The cell has a single bit line. True and complementary read and write signals are used in place of a single word line. A representative layout in Fig (3) has an area of 46 x 75 X. The power and ground lines can be shared between mirrored adjacent cells, but the area is still limited by the wires and is undesirably large. However, the cell is easy to design because all nodes swing rail-to-rail and it is fast when used in small RAMs and register files. Fig (2) shows a 6-transistor (6T) SRAM commonly used in practice. Such a cell uses a single word line and both true and complementary bid lines. The complementary bit- line is often called bit or bit. The cell contains a pair of cross-coupled inverters and an access transistor for each bit line. True and complementary versions of the data are stored on the cross-coupled inverters. If the data is disturbed slightly, positive feedback around the loop will restore it to VDD or GND. The word line is asserted to read or write the cell. Fig 1: 12-transistor SRAM cell
  • 3. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 145 Two types of SRAM cells will be considered in this paper. (i) Conventional Twelve-transistor (12T) SRAM cell, as shown in Figure 1. (ii) Load less six-transistor (6T) SRAM Cell, as shown in Figure 2. They will be designed and analyzed in various configurations with respect to functionality, power dissipation, area occupancy, stability and access time. True and complementary versions of the data are stored on the cross-coupled inverters. Fig 2: Conventional 6T SRAM Cell Fig 3: Representative layout of 46 x 75 X
  • 4. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 146 Fig 4: Schematic of SRAM cell 1.2 An Introduction to DRAM Dynamic random access memory (DRAM) integrated circuits (ICs) have existed for more than twenty-five years. DRAMs evolved from the earliest 1-kilobit (Kb) generation to the recent 1- gigabit (GB) generation through advances in both semiconductor process and circuit design technology. Tremendous advances in process technology have dramatically reduced feature size, permitting ever higher levels of integration. These increases in integration have been accompanied by major improvements in component yield to ensure that overall process solutions remain cost-effective and competitive. Technology improvements, however, are not limited to semiconductor processing. Many of the advances in process technology have been accompanied or enabled by advances in circuit design technology. In most cases, advances in one have enabled advances in the other. Dynamic RAMs (DRAMs) store their contents as charge on a capacitor rather than in a feedback loop. Thus, the basic cell is substantially smaller than SRAM, but the cell must be periodically read and refreshed so that its contents do not leak away. Commercial DRAMs are built in specialized processes optimized for dense capacitor structures. They offer an order of magnitude greater density (bits/cm2) than high-performance SRAM built in a standard logic process, but they also have much higher latency. DRAM circuit design is a very specialized art. This section provides an overview of the general technique.
  • 5. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 147 A 1-transistor (IT) dynamic RAM cell consists of a transistor and a capacitor, as shown in Figure (a). Like SRAM, the cell is accessed by asserting the word line to connect the capacitor to the bit line. On a read, the bit line is first pre charged to VDD/2. When the word line rises, the capacitor shares its charge with the bit line, causing a voltage change A V that can be sensed, as shown in Figure (b). The read disturbs the cell contents at x, so the cell must be rewritten after each read. On a write, the bit line is driven high or low and the voltage is forced onto the capacitor. Some DRAMs drive the word line to VDDP = VDD + Vt to avoid a degraded level when writing a '1.' The DRAM capacitor must be as physically small as possible to achieve good density. However, the bit line is contacted to many DRAM cells and has a relatively large capacitance C bit. Therefore, the cell capacitance is typically much smaller than the bit line capacitance. 2. MEMORY ARCHITECTURE The preferred organization for Random access memories is shown in Fig 5. This organization is random-access architecture which is an Asynchronous design. The name is derived from the fact that memory locations (addresses) can be accessed in random order at a fixed rate, independent of physical location, for reading or writing. The storage array, or core, is made up of simple cell circuits arranged to share connections in horizontal rows and vertical columns. The horizontal lines, which are driven only from outside the storage array, are called word lines, while the vertical lines, along which data flow into and out of cells, are called bit lines. A cell is accessed for reading or writing by selecting its row and column. Each Cell can store 0 or 1. Memories may simultaneously select 4, 8, 16, 32, or 64columns in one row depending on the application. The row and column (or groups of columns) to be selected are determined by decoding binary address information. In this design, the number of rows and columns, both are equal to 64 for 4Mb memory cut. Using two such memory cuts, a 8Mb SRAM memory is designed.
  • 6. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 148 Fig 5: SRAM Memory Architecture 3. SENSE AMPLIFIER The sense amplifiers have to amplify the data which is present on the bit lines during the read operation. The memory cells are small in size, and hence cannot the discharge the bit lines fast enough. Also, the bit lines continue to slew till a large differential voltage is formed between them. This causes significant power dissipation since the bit lines have large capacitances. Fig 6: Schematic of sense amplifier
  • 7. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 149 Hence, by limiting the word line pulse width we can control the amount of charge pulled down by the bit lines and hence limit power dissipation. The schematic and symbol are as shown below. It consists of two cross coupled gain stages which are enabled by the sense clock signal. The cross coupled stage ensures a full amplification of the input signal. This type of amplifier consumes least amount of power, however they can potentially be slower since some timing margin is needed for the generation of the sense clock signal. If the sense amplifiers enabled before sufficient differential voltage is formed, it could lead to a wrong output. Thus, the timing of the sense clock signal needs to be such that the sense amplifier can operate over various process corners and temperature ranges. In this sense amplifier Bit lines have many cells attached. If we take example of that Ex: 32-kbit SRAM has 256 rows x 128 cols. On each bit line 128 cells are present. Sense amplifiers are triggered on small voltage swing (reduce ∆V).Even with shared diffusion contacts, 64C of diffusion capacitance are there. Discharged slowly through small transistors. 4. SIMULATION ENVIRONMENT AND RESULTS The following configuration of SRAM arrays were designed and analyzed using the conventional 6T SRAM Cell: (a) 1*1 (b) 16*16 (c) 32*32. The various configurations were simulated using HSPICE using the Nominal Predictive Technology Model (PTM) in 130nm, 90nm and 65nm CMOS technologies. The functionality of 1*1 6T SRAM cell is shown in Figure. 7 The For 1K- bit (32*32) configuration along with the relevant input control signals, only the signals for three input data bits (0th, 16th and 31st), three output data bits (0th,16th and 31st), and the corresponding storage nodes of the appropriate cell is presented. Cadence simulation of transient analysis and DC analysis gave good results. The results are shown in the timing diagram. The noise margins are very good and the output is stable. (a)
  • 8. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 150 (b (c) Fig 8: Timing Diagram
  • 9. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 151 5. DESIGN FLOW Cadence design Systems is electronic design automation software and engineering Services Company that offers various types of design and verification tasks that include: Virtuoso Platform - Tools for designing full-custom integrated circuits, includes schematic entry, behavioral modeling (Verilog-AMS), circuit simulation, full custom layout, physical verification, extraction and back-annotation. Encounter Platform - Tools for creation of digital integrated circuits. This includes floor planning, synthesis, test, and place and route. Incisive Platform - Tools for simulation and functional verification of RTL including Verilog, VHDL and System C based models. Includes formal verification, formal equivalence checking, hardware acceleration, and emulation. The proposed work is done in Virtuoso platform using gpdk45 nm technology. The flow of design is as shown below. Fig 9: Design Flow
  • 10. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 152 6. CONCLUSION The New Load less 6T-SRAM cell is designed and analyzed in deep submicron (130nm, 90nm and 65nm) CMOS technologies, which establish the technology independence of the New Load less 4T SRAM cell and its consistent performance with respect to Conventional 6T SRAM cell in deep sub-micron regime. The New Load less 6T SRAM array consumes low power with low area. The most significant feature of this new load less 4T SRAM Cell is that there is no need to modify any of the fabrication process. Thus it can be used for on-chip caches in embedded microprocessors, high density SRAMs embedded in any logic devices, as well as for stand-alone SRAM applications. This paper presents the design of SRAM array in 45 nm having very low power consumption. The low power design of SRAM is investigated and 6T SRAM architecture is chosen for memory bit cell and an array is designed with that bit cell. Transient and parametric analyses were carried out in the simulation process and the power consumption is estimated. As stated earlier, the power consumption can further be reduced by partitioning the array and by using DWL scheme. 7. REFERENCES [1] M. Yamaoka, N. Maeda, Y. Shinozaki, Y. Shimazaki, K. Nii, S. Shimada, K. Yanagisawa, and T. Kawahara, “90-nm process-variation adaptive embedded SRAM modules with power-line-floating write technique,” IEEE J. Solid-State Circuits, vol. 41, no. 3, pp. 705–711,Mar. 2006. [2] E. Seevinck et al., "Static-noise margin analysis of MOS SRAM cells," IEEE J. Solid-State Circuits, vol. SC-22, no. 2, pp. 748-754, May 1987. [3] Sreerama Reddy G.M, P.Chandrashekara Reddy “Design and VLSI Implementation of 8 Mb Low Power SRAM in 90nm” European Journal of Scientific Research ISSN 1450-216X Vol.26 No.2 (2009), pp.305-314. [4] Anne-Johan Annema, Member, IEEE, Bram Nauta, Senior Member, IEEE, Ronald van Langevelde, Member, IEEE and Hans Tuinhout “Analog Circuits in Ultra-Deep-Submicron CMOS” IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 40, NO. 1, JANUARY 2005. [5] “Dynamic RAM” ELEC 464 : MICROCOMPUTER SYSTEM DESIGN 1996/97 WINTER SESSION TERM 1 [6] John Poulton, “An Embedded DRAM for CMOS ASICs” [7] Alejandro Valero, Julio Sahuquillo, Salvador Petit, Vicente Lorente,Ramon Canal, Pedro López, José Duato “An Hybrid eDRAM/SRAM Macrocell to Implement First-Level Data Caches” [8] James S. Caravella, “A Low Voltage SRAM for EmbeddedApplications”, IEEE Journal of Solid-State Circuits, vol. 32, no. 3, pp. 428 – 432, March 1997. [9] Delaluz, V., Sivasubramaniam, A., Kendemir, M., Vijaykrishnan N., and Irwin, M.,Scheduler-Based DRAM Energy Management, Design Automation Con-ference, 2002. [10] M. Yamaoka, K. Osada, R. Tsuchiya, M. Horiuchi, S. Kimura, and T. Kawahara, “Low power SRAM menu for SOC application using Yin- Yang-feedback memory cell technology,” in Symp. VLSI Technology 2004 Dig. Tech. Papers, Jun. 2004, pp. 288–291. [11] Neeraj Kr. Shukla, R.K.Singh, Manisha Pattanaik “Design and Analysis of a Novel Low-Power SRAM Bit-Cell Structure at Deep-Sub-Micron CMOS Technology for Mobile Multimedia
  • 11. International Journal of VLSI design & Communication Systems (VLSICS) Vol.2, No.4, December 2011 153 Applications” (IJACSA) International Journal of Advanced Computer Science and Applications, Vol. 2, No. 5, 2011 [12] Brent Keeth “A NOVEL ARCHITECTURE FOR ADVANCED HIGH DENSITY DYNAMIC RANDOM ACCESS MEMORIES” May 1996 [13] Xiaoyao Liang, Ramon Canal, Gu-Yeon Wei David Brooks “REPLACING 6T SRAMS WITH 3T1D DRAMS IN THE L1 DATA CACHE TO COMBAT PROCESS VARIABILITY” IEEE 0272- 1732/08/$20.0 G 2008 JANUARY–FEBRUARY 2008. [14] Paridhi Athe, S. Dasgupta “A Comparative Study of 6T, 8T and 9T Decanano SRAM cell” 2009 IEEE Symposium on Industrial Electronics and Applications (ISIEA 2009), October 4-6, 2009, Kuala Lumpur, Malaysia. [15] Pramod Kolar, Member, IEEE, Eric Karl, Member, IEEE, Uddalak Bhattacharya, Member, IEEE, Fatih Hamzaoglu, Member, IEEE, Henry Nho, Yong-Gee Ng, Yih Wang, Member, IEEE, and Kevin Zhang, Senior Member, IEEE “A 32 nm High-k Metal Gate SRAM With Adaptive Dynamic Stability Enhancement for Low-Voltage Operation” IEEE JOURNAL OF SOLID-STATE CIRCUITS, VOL. 46, NO. 1, JANUARY 2011. [16] Sandeep R, Narayan T Deshpande, A R Aswatha, “Design and Analysis of a New Loadless 4T SRAM Cell in Deep Submicron CMOS Technologies” Second International Conference on Emerging Trends in Engineering and Technology, ICETET-09. [17] James S. Caravella, “A Low Voltage SRAM for EmbeddedApplications”, IEEE Journal of Solid-State Circuits, vol. 32, no. 3,pp. 428 – 432, March 1997. [18] Jinshen Yang and Li Chen, “A New loadless 4-transistor SRAM cell with a 0.18µm CMOS technology”, Electrical and Computer Engineering, CCECE Canadian Conference, pp. 538 – 541, April 2007. [19] P.Y. Chee, P.C. Liu, L. Siek, “High-speed hybrid current-mode sense amplifier for CMOS SRAMs”, ELECTRONICS LETTERS, vol. 28, no. 9, April 1992. [20] Jinn-Shyan Wang, Hong-Yu Lee, “A new current-mode sense amplifier for low- voltage low-power SRAM design”, Eleventh Annual IEEE International Proceeding of ASIC, pp.163-167, Sep. 1998. Authors Mr. Viplav A. Soliv is currently working as a lecturer in Electronics and Telecommunication Engineering Department, Sipna College of Engineering, Amravati (India) since 2010. He is also persuing his M.E. in Digital Electronics from the same institute. His areas of interest are Digital System Design, VLSI Design. viplove.soliv@rediffmail.com Dr. Ajay A. Gurjar is currently working as a Professor in Electronics and Telecommunication Engineering Department, Sipna College of Engineering; Amravati (India).He also completed his Ph.D in signal processing. His areas of interest are Digital System Design, VLSI Design, Image Processing, and Signal processing. prof_gurjar1928@rediffmail.com