SlideShare a Scribd company logo
Re-Vision stack presentation
Peter Hobden MSc
Lincoln University
Introduction Responsive and Reconfigurable Vision Systems
Why FPGAs
More Responsive than Typical
SoCs & Embedded GPUs:
6X better images/sec/Watt in
machine learning
42X higher frames/sec/Watt for
computer vision processing
1/5th the latency
UltraScale ZCU102/104
Components
• xfOpenCV
• Vivado version base TRD
• SDSoC (C like language)
• PetaLinux
OpenCV library functions are essential to developing many computer vision applications. Xilinx’s xFAST library for computer
vision, based on key OpenCV functions, will allow you to easily compose and accelerate computer vision functions in the FPGA
fabric through SDx or HLx environments.
In addition, xFAST functions are consistent with OpenCV and are optimized for performance, resource utilization and ease of
use. There are Thousands of functions in OpenCV 3.1 library for Cortex A9 and Cortex A53 OpenCV functions (including the
OpenVX subset) available as a library of optimized functions for Xilinx SoCs
Complete library user guide with device utilization and performance
xFOpenCV
xFOpenCV functions
Re-Vision stack - Implementations
• The reVISION stack includes four initial design templates (with more to come - hopefully) that are
intended to get you up-and-running in a very short period of time. These design examples aim
help you easily see the distinct advantage Xilinx All Programmable SOCs have in high performance
embedded vision applications. The following is a brief description of these four design examples.
• LK Dense Optical Flow @ 4K60 – Real-time dense implementation of optical flow, detecting
object motion for every single pixel. This example uses non-iterative, non-pyramidal
implementation on 4K@60 FPS input coming from a Sony IMX274 sensor via the MIPI interface
• Stereo Vision – Real-time stereo disparity map calculation including remap, rectification and local
block matching. It can process dual 1080p30 stereo camera input via USB3
• Combined dense optical flow, stereo vision.
• Future - Combines the three major, complex algorithms commonly used in vision-guided systems
today including Convolutional Neural Network (CNN) for object detection or scene segmentation,
Dense Optical Flow for motion tracking and Stereo Vision for depth perception, running on a
single Zynq Ultrascale+ MPSoC device.
Optical flow
https://www.youtube.com/watch?v=4vR0-Icx2lo
Supported devices
• MIPI-CSI 2.0 Sensor Xilinx
• MIPI CSI2 Receiver Subsystem and MIPI CSI 2 Transmitter Subsystems implement the Mobile Industry
Processor Interface (MIPI) based Camera Serial Interface (CSI-2) according to version 1.1 on Xilinx's
UltraScale+™ devices allowing users to capture raw images from MIPI CSI2 sensors.
• logiSLVS_RX Camera Sub-LVDS Receiver
• Sensor Xylon
• IP core supporting interfacing of ultra-high resolution Sony CMOS image sensors to image signal processing
pipelines and application processors implemented in Xilinx All Programmable devices
• HDMI In/Out Xilinx
• HDMI TX and RX subsystems. The HDMI Subsystems are designed in compliance with the HDMI Forum
version 2.0 of the HDMI specification.
• DisplayPort In/Out Xilinx
• DisplayPort LogiCORE™ and DisplayPort TX and RX subsystems help users implement DisplayPort video
interface as defined by VESA DisplayPort v1.2 specification.
• UHD-SDI (up to 12G) In/Out Xilinx
• UHD Serial Digital Interface (UHD-SDI)is used for the transport of uncompressed digital video streams up to
4K resolutions over coax cable. The LogiCORE™ IP UHD-SDI interface provides receiver and transmitter
interfaces for the SMPTE SD-SDI, HD-SDI, 3G-SDI, 6G-SDI and 12G-SDI standards.
• GigE Vision In/Out
Creating a custom platform in Vivado
FPGA logic for capturing
and displaying video
MPSoC Base TRD – Block Diagram
VHDL / Verilog code
IP Blocks
Simulation
Matlab – Model composer
SDSoC Integration (SDx)
SDSoC Environment Overview
Combines HLS and SoC together!
• Familiar Embedded C/C++/OpenCL Application Development Experience
• The SDSoC™ development environment provides a familiar embedded
C/C++/OpenCL application development experience including an easy to
use Eclipse IDE and a comprehensive design environment for
heterogeneous Zynq®
• All Programmable SoC and MPSoC deployment.
• Complete with the industry's first C/C++/OpenCL full-system optimising
compiler, SDSoC delivers system level profiling, automated software
acceleration in programmable logic, automated system connectivity
generation, and libraries to speed programming.
• It also enables end user and third party platform developers to define,
integrate, and verify system level solutions and enable their end customers
with a customized programming environment.
• Cross compile for cortex A53
Parallel processing on Hardware
Hardware / Not ARM processor
Linux – Add Open CV libraries
OpenCV references
Petalinux
Linux processors
Neural Networks – Deep Learning
• The idea is to integrate ‘The revision stack’ with a ‘Deep learning’
engine
• Caffe
• Tensorflow
DNN/CNN
Resource conflict issues
Deep learning requires fast access to memory
But so does the video!
Additional PL – Connections are required

More Related Content

What's hot

Intelligent Interconnect Architecture to Enable Next Generation HPC - Linaro ...
Intelligent Interconnect Architecture to Enable Next Generation HPC - Linaro ...Intelligent Interconnect Architecture to Enable Next Generation HPC - Linaro ...
Intelligent Interconnect Architecture to Enable Next Generation HPC - Linaro ...
Linaro
 

What's hot (20)

An Automatic Generation of NoC Architectures: An Application-Mapping Approach
An Automatic Generation of NoC Architectures: An Application-Mapping ApproachAn Automatic Generation of NoC Architectures: An Application-Mapping Approach
An Automatic Generation of NoC Architectures: An Application-Mapping Approach
 
Chips alliance omni xtend overview
Chips alliance omni xtend overviewChips alliance omni xtend overview
Chips alliance omni xtend overview
 
RISC-V growth and successes in technology and industry - embedded world 2021
RISC-V growth and successes in technology and industry - embedded world 2021RISC-V growth and successes in technology and industry - embedded world 2021
RISC-V growth and successes in technology and industry - embedded world 2021
 
Educating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-VEducating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-V
 
An Open Discussion of RISC-V BitManip, trends, and comparisons _ Cuff
 An Open Discussion of RISC-V BitManip, trends, and comparisons _ Cuff An Open Discussion of RISC-V BitManip, trends, and comparisons _ Cuff
An Open Discussion of RISC-V BitManip, trends, and comparisons _ Cuff
 
Gernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundationGernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundation
 
An open flow for dn ns on ultra low-power RISC-V cores
An open flow for dn ns on ultra low-power RISC-V coresAn open flow for dn ns on ultra low-power RISC-V cores
An open flow for dn ns on ultra low-power RISC-V cores
 
Andes enhancing verification coverage for risc v vector extension using riscv-dv
Andes enhancing verification coverage for risc v vector extension using riscv-dvAndes enhancing verification coverage for risc v vector extension using riscv-dv
Andes enhancing verification coverage for risc v vector extension using riscv-dv
 
Ripes: Teaching Computer Architecture Through Visual and Interactive Simulators
Ripes: Teaching Computer Architecture Through Visual and Interactive SimulatorsRipes: Teaching Computer Architecture Through Visual and Interactive Simulators
Ripes: Teaching Computer Architecture Through Visual and Interactive Simulators
 
Huawei’s requirements for the ARM based HPC solution readiness - Joshua Mora
Huawei’s requirements for the ARM based HPC solution readiness - Joshua MoraHuawei’s requirements for the ARM based HPC solution readiness - Joshua Mora
Huawei’s requirements for the ARM based HPC solution readiness - Joshua Mora
 
Fueling the datasphere how RISC-V enables the storage ecosystem
Fueling the datasphere   how RISC-V enables the storage ecosystemFueling the datasphere   how RISC-V enables the storage ecosystem
Fueling the datasphere how RISC-V enables the storage ecosystem
 
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
 
Andes RISC-V processor solutions
Andes RISC-V processor solutionsAndes RISC-V processor solutions
Andes RISC-V processor solutions
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Codasip application class RISC-V processor solutions
Codasip application class RISC-V processor solutionsCodasip application class RISC-V processor solutions
Codasip application class RISC-V processor solutions
 
Vhdl Project List - Verilog Projects
Vhdl Project List - Verilog Projects Vhdl Project List - Verilog Projects
Vhdl Project List - Verilog Projects
 
Closing the RISC-V compliance gap via fuzzing
Closing the RISC-V compliance gap via fuzzingClosing the RISC-V compliance gap via fuzzing
Closing the RISC-V compliance gap via fuzzing
 
Intelligent Interconnect Architecture to Enable Next Generation HPC - Linaro ...
Intelligent Interconnect Architecture to Enable Next Generation HPC - Linaro ...Intelligent Interconnect Architecture to Enable Next Generation HPC - Linaro ...
Intelligent Interconnect Architecture to Enable Next Generation HPC - Linaro ...
 
Andes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorAndes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processor
 
RISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmware
 

Similar to Re-Vision stack presentation

DACHSview++features
DACHSview++featuresDACHSview++features
DACHSview++features
A. Steinhoff
 
ProjectVault[VivekKumar_CS-C_6Sem_MIT].pptx
ProjectVault[VivekKumar_CS-C_6Sem_MIT].pptxProjectVault[VivekKumar_CS-C_6Sem_MIT].pptx
ProjectVault[VivekKumar_CS-C_6Sem_MIT].pptx
Vivek Kumar
 
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
IDES Editor
 

Similar to Re-Vision stack presentation (20)

HiPEAC 2019 Workshop - Hardware Starter Kit Agri
HiPEAC 2019 Workshop - Hardware Starter Kit Agri HiPEAC 2019 Workshop - Hardware Starter Kit Agri
HiPEAC 2019 Workshop - Hardware Starter Kit Agri
 
IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...
IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...
IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...
 
HiPEAC Computing Systems Week 2022_Mario Porrmann presentation
HiPEAC Computing Systems Week 2022_Mario Porrmann presentationHiPEAC Computing Systems Week 2022_Mario Porrmann presentation
HiPEAC Computing Systems Week 2022_Mario Porrmann presentation
 
Sundance VCS-1 for Precision Robotics
Sundance VCS-1 for Precision RoboticsSundance VCS-1 for Precision Robotics
Sundance VCS-1 for Precision Robotics
 
DACHSview++features
DACHSview++featuresDACHSview++features
DACHSview++features
 
FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning FPGA Hardware Accelerator for Machine Learning
FPGA Hardware Accelerator for Machine Learning
 
Sundance's presentation at B:RAI 2020
Sundance's presentation at B:RAI 2020Sundance's presentation at B:RAI 2020
Sundance's presentation at B:RAI 2020
 
Introduction to Software Defined Visualization (SDVis)
Introduction to Software Defined Visualization (SDVis)Introduction to Software Defined Visualization (SDVis)
Introduction to Software Defined Visualization (SDVis)
 
Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)
 
Vlsi lab
Vlsi labVlsi lab
Vlsi lab
 
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision SystemHai Tao at AI Frontiers: Deep Learning For Embedded Vision System
Hai Tao at AI Frontiers: Deep Learning For Embedded Vision System
 
Webinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mão
Webinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mãoWebinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mão
Webinar: NVIDIA JETSON – A Inteligência Artificial na palma de sua mão
 
HiPEAC 2022_Marco Tassemeier presentation
HiPEAC 2022_Marco Tassemeier presentationHiPEAC 2022_Marco Tassemeier presentation
HiPEAC 2022_Marco Tassemeier presentation
 
“Open Standards: Powering the Future of Embedded Vision,” a Presentation from...
“Open Standards: Powering the Future of Embedded Vision,” a Presentation from...“Open Standards: Powering the Future of Embedded Vision,” a Presentation from...
“Open Standards: Powering the Future of Embedded Vision,” a Presentation from...
 
So c
So cSo c
So c
 
ProjectVault[VivekKumar_CS-C_6Sem_MIT].pptx
ProjectVault[VivekKumar_CS-C_6Sem_MIT].pptxProjectVault[VivekKumar_CS-C_6Sem_MIT].pptx
ProjectVault[VivekKumar_CS-C_6Sem_MIT].pptx
 
Fel Flyer F10
Fel Flyer F10Fel Flyer F10
Fel Flyer F10
 
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
A PIC compatible RISC CPU core Implementation for FPGA based Configurable SOC...
 
Виктор Ерухимов Open VX mixar moscow sept'15
Виктор Ерухимов Open VX  mixar moscow sept'15 Виктор Ерухимов Open VX  mixar moscow sept'15
Виктор Ерухимов Open VX mixar moscow sept'15
 
UplinQ - qualcomm® hexagon™ sdk optimize your multimedia solutions
UplinQ - qualcomm® hexagon™ sdk optimize your multimedia solutionsUplinQ - qualcomm® hexagon™ sdk optimize your multimedia solutions
UplinQ - qualcomm® hexagon™ sdk optimize your multimedia solutions
 

More from Sundance Multiprocessor Technology Ltd.

More from Sundance Multiprocessor Technology Ltd. (19)

Sundance Perception Blade
Sundance Perception BladeSundance Perception Blade
Sundance Perception Blade
 
Sundance TULIPP Workshop at Nottingham Trent University
Sundance TULIPP Workshop at Nottingham Trent UniversitySundance TULIPP Workshop at Nottingham Trent University
Sundance TULIPP Workshop at Nottingham Trent University
 
System Design on Zynq using SDSoC
System Design on Zynq using SDSoCSystem Design on Zynq using SDSoC
System Design on Zynq using SDSoC
 
Moving object detection on FPGA
Moving object detection on FPGAMoving object detection on FPGA
Moving object detection on FPGA
 
ANPR FPGA Workshop
ANPR FPGA WorkshopANPR FPGA Workshop
ANPR FPGA Workshop
 
E3MV - Embedded Vision - Sundance
E3MV - Embedded Vision - SundanceE3MV - Embedded Vision - Sundance
E3MV - Embedded Vision - Sundance
 
HiPEAC 2018 - CPS, why all the fuss?
HiPEAC 2018 - CPS, why all the fuss?HiPEAC 2018 - CPS, why all the fuss?
HiPEAC 2018 - CPS, why all the fuss?
 
Sundance HiPEAC 2018 Presentation
Sundance HiPEAC 2018 PresentationSundance HiPEAC 2018 Presentation
Sundance HiPEAC 2018 Presentation
 
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing HandbookTULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
TULIPP - Leaving a legacy: The ultimate Low-Power Image Processing Handbook
 
TULIPP at NMI 18-5-17
TULIPP at NMI 18-5-17TULIPP at NMI 18-5-17
TULIPP at NMI 18-5-17
 
Open VPX Tutorial
Open VPX TutorialOpen VPX Tutorial
Open VPX Tutorial
 
Stack PC in PC104 Land
Stack PC in PC104 LandStack PC in PC104 Land
Stack PC in PC104 Land
 
EMC2 Xilinx SDSoC presentation
EMC2 Xilinx SDSoC presentationEMC2 Xilinx SDSoC presentation
EMC2 Xilinx SDSoC presentation
 
Pc 104 series 1 application showcase
Pc 104 series 1 application showcasePc 104 series 1 application showcase
Pc 104 series 1 application showcase
 
Pc 104 express w. virtex 5-2014_5
Pc 104 express w. virtex 5-2014_5Pc 104 express w. virtex 5-2014_5
Pc 104 express w. virtex 5-2014_5
 
DM8168 Dual SuperHD image capture using DaVinci
DM8168 Dual SuperHD image capture using DaVinciDM8168 Dual SuperHD image capture using DaVinci
DM8168 Dual SuperHD image capture using DaVinci
 
Fixed-point Multi-Core DSP Application Examples
Fixed-point Multi-Core DSP Application ExamplesFixed-point Multi-Core DSP Application Examples
Fixed-point Multi-Core DSP Application Examples
 
Fixed-point Multi-Core DSP Platform
Fixed-point Multi-Core DSP PlatformFixed-point Multi-Core DSP Platform
Fixed-point Multi-Core DSP Platform
 
Sundance Profile 2014
Sundance Profile 2014Sundance Profile 2014
Sundance Profile 2014
 

Recently uploaded

Recently uploaded (20)

ODC, Data Fabric and Architecture User Group
ODC, Data Fabric and Architecture User GroupODC, Data Fabric and Architecture User Group
ODC, Data Fabric and Architecture User Group
 
Demystifying gRPC in .Net by John Staveley
Demystifying gRPC in .Net by John StaveleyDemystifying gRPC in .Net by John Staveley
Demystifying gRPC in .Net by John Staveley
 
Exploring UiPath Orchestrator API: updates and limits in 2024 🚀
Exploring UiPath Orchestrator API: updates and limits in 2024 🚀Exploring UiPath Orchestrator API: updates and limits in 2024 🚀
Exploring UiPath Orchestrator API: updates and limits in 2024 🚀
 
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptxIOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
 
Free and Effective: Making Flows Publicly Accessible, Yumi Ibrahimzade
Free and Effective: Making Flows Publicly Accessible, Yumi IbrahimzadeFree and Effective: Making Flows Publicly Accessible, Yumi Ibrahimzade
Free and Effective: Making Flows Publicly Accessible, Yumi Ibrahimzade
 
Custom Approval Process: A New Perspective, Pavel Hrbacek & Anindya Halder
Custom Approval Process: A New Perspective, Pavel Hrbacek & Anindya HalderCustom Approval Process: A New Perspective, Pavel Hrbacek & Anindya Halder
Custom Approval Process: A New Perspective, Pavel Hrbacek & Anindya Halder
 
IoT Analytics Company Presentation May 2024
IoT Analytics Company Presentation May 2024IoT Analytics Company Presentation May 2024
IoT Analytics Company Presentation May 2024
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
 
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMsTo Graph or Not to Graph Knowledge Graph Architectures and LLMs
To Graph or Not to Graph Knowledge Graph Architectures and LLMs
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
 
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered QualitySoftware Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
 
Unpacking Value Delivery - Agile Oxford Meetup - May 2024.pptx
Unpacking Value Delivery - Agile Oxford Meetup - May 2024.pptxUnpacking Value Delivery - Agile Oxford Meetup - May 2024.pptx
Unpacking Value Delivery - Agile Oxford Meetup - May 2024.pptx
 
Speed Wins: From Kafka to APIs in Minutes
Speed Wins: From Kafka to APIs in MinutesSpeed Wins: From Kafka to APIs in Minutes
Speed Wins: From Kafka to APIs in Minutes
 
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
 
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
 
AI revolution and Salesforce, Jiří Karpíšek
AI revolution and Salesforce, Jiří KarpíšekAI revolution and Salesforce, Jiří Karpíšek
AI revolution and Salesforce, Jiří Karpíšek
 
Measures in SQL (a talk at SF Distributed Systems meetup, 2024-05-22)
Measures in SQL (a talk at SF Distributed Systems meetup, 2024-05-22)Measures in SQL (a talk at SF Distributed Systems meetup, 2024-05-22)
Measures in SQL (a talk at SF Distributed Systems meetup, 2024-05-22)
 
Integrating Telephony Systems with Salesforce: Insights and Considerations, B...
Integrating Telephony Systems with Salesforce: Insights and Considerations, B...Integrating Telephony Systems with Salesforce: Insights and Considerations, B...
Integrating Telephony Systems with Salesforce: Insights and Considerations, B...
 
UiPath Test Automation using UiPath Test Suite series, part 2
UiPath Test Automation using UiPath Test Suite series, part 2UiPath Test Automation using UiPath Test Suite series, part 2
UiPath Test Automation using UiPath Test Suite series, part 2
 
UiPath Test Automation using UiPath Test Suite series, part 1
UiPath Test Automation using UiPath Test Suite series, part 1UiPath Test Automation using UiPath Test Suite series, part 1
UiPath Test Automation using UiPath Test Suite series, part 1
 

Re-Vision stack presentation

  • 1. Re-Vision stack presentation Peter Hobden MSc Lincoln University
  • 2. Introduction Responsive and Reconfigurable Vision Systems
  • 3. Why FPGAs More Responsive than Typical SoCs & Embedded GPUs: 6X better images/sec/Watt in machine learning 42X higher frames/sec/Watt for computer vision processing 1/5th the latency
  • 5. Components • xfOpenCV • Vivado version base TRD • SDSoC (C like language) • PetaLinux
  • 6. OpenCV library functions are essential to developing many computer vision applications. Xilinx’s xFAST library for computer vision, based on key OpenCV functions, will allow you to easily compose and accelerate computer vision functions in the FPGA fabric through SDx or HLx environments. In addition, xFAST functions are consistent with OpenCV and are optimized for performance, resource utilization and ease of use. There are Thousands of functions in OpenCV 3.1 library for Cortex A9 and Cortex A53 OpenCV functions (including the OpenVX subset) available as a library of optimized functions for Xilinx SoCs Complete library user guide with device utilization and performance xFOpenCV
  • 8. Re-Vision stack - Implementations • The reVISION stack includes four initial design templates (with more to come - hopefully) that are intended to get you up-and-running in a very short period of time. These design examples aim help you easily see the distinct advantage Xilinx All Programmable SOCs have in high performance embedded vision applications. The following is a brief description of these four design examples. • LK Dense Optical Flow @ 4K60 – Real-time dense implementation of optical flow, detecting object motion for every single pixel. This example uses non-iterative, non-pyramidal implementation on 4K@60 FPS input coming from a Sony IMX274 sensor via the MIPI interface • Stereo Vision – Real-time stereo disparity map calculation including remap, rectification and local block matching. It can process dual 1080p30 stereo camera input via USB3 • Combined dense optical flow, stereo vision. • Future - Combines the three major, complex algorithms commonly used in vision-guided systems today including Convolutional Neural Network (CNN) for object detection or scene segmentation, Dense Optical Flow for motion tracking and Stereo Vision for depth perception, running on a single Zynq Ultrascale+ MPSoC device.
  • 10. Supported devices • MIPI-CSI 2.0 Sensor Xilinx • MIPI CSI2 Receiver Subsystem and MIPI CSI 2 Transmitter Subsystems implement the Mobile Industry Processor Interface (MIPI) based Camera Serial Interface (CSI-2) according to version 1.1 on Xilinx's UltraScale+™ devices allowing users to capture raw images from MIPI CSI2 sensors. • logiSLVS_RX Camera Sub-LVDS Receiver • Sensor Xylon • IP core supporting interfacing of ultra-high resolution Sony CMOS image sensors to image signal processing pipelines and application processors implemented in Xilinx All Programmable devices • HDMI In/Out Xilinx • HDMI TX and RX subsystems. The HDMI Subsystems are designed in compliance with the HDMI Forum version 2.0 of the HDMI specification. • DisplayPort In/Out Xilinx • DisplayPort LogiCORE™ and DisplayPort TX and RX subsystems help users implement DisplayPort video interface as defined by VESA DisplayPort v1.2 specification. • UHD-SDI (up to 12G) In/Out Xilinx • UHD Serial Digital Interface (UHD-SDI)is used for the transport of uncompressed digital video streams up to 4K resolutions over coax cable. The LogiCORE™ IP UHD-SDI interface provides receiver and transmitter interfaces for the SMPTE SD-SDI, HD-SDI, 3G-SDI, 6G-SDI and 12G-SDI standards. • GigE Vision In/Out
  • 11. Creating a custom platform in Vivado FPGA logic for capturing and displaying video
  • 12. MPSoC Base TRD – Block Diagram
  • 16. Matlab – Model composer
  • 18. SDSoC Environment Overview Combines HLS and SoC together! • Familiar Embedded C/C++/OpenCL Application Development Experience • The SDSoC™ development environment provides a familiar embedded C/C++/OpenCL application development experience including an easy to use Eclipse IDE and a comprehensive design environment for heterogeneous Zynq® • All Programmable SoC and MPSoC deployment. • Complete with the industry's first C/C++/OpenCL full-system optimising compiler, SDSoC delivers system level profiling, automated software acceleration in programmable logic, automated system connectivity generation, and libraries to speed programming. • It also enables end user and third party platform developers to define, integrate, and verify system level solutions and enable their end customers with a customized programming environment. • Cross compile for cortex A53
  • 20. Hardware / Not ARM processor
  • 21. Linux – Add Open CV libraries
  • 25. Neural Networks – Deep Learning • The idea is to integrate ‘The revision stack’ with a ‘Deep learning’ engine • Caffe • Tensorflow
  • 27. Resource conflict issues Deep learning requires fast access to memory But so does the video! Additional PL – Connections are required