SlideShare a Scribd company logo
1 of 11
RISC-V Growth and Successes in Technology
and Industry
embedded world 2021
Kim McMahon
Director of Marketing
RISC-V International
@kamcmahon
Stephano Cetola
Technical Program Manager
RISC-V International
@stephano
Welcome to the RISC-V presentation! Meet:
Kim McMahon
Director of Marketing
Kim has a deep background in
open source and technology.
She has spent her career with
companies such as SGI, Cray,
VMware, and the {code} Team at
Dell, where she honed her love
for HPC, open source, and cloud
native.
A long-time Colorado girl, she lives in Winter Park, Colorado and
enjoys hiking, skiing, and outdoor activities with her two labradors
Coal and Connor.
Stephano Cetola
Program Manager
Stephano is a technical program
manager for RISC-V
International. He has worked on
and managed numerous open
source initiatives in software and
hardware.
Before joining the RISC-V team, Stephano was employed at
Intel contributing to the Yocto Project building embedded
Linux distros and working on TianoCore, an open source
implementation of UEFI. He is involved in research at Portland
State University focusing on Trusted Execution Environments
and hardware security testing.
@kamcmahon @stephano
Who is RISC-V?
RISC-V is the free and open ISA
... Driven through Open
collaboration
... Enabling freedom of design
across all domains and industries
... Cementing the strategic
foundation of semiconductors
Welcome to the Open era of
computing!
@risc_v
@kamcmahon
@stephano
2020 was amazing!
Technical:
RISC-V Processor trace
specification ratified
In the news...
● BBC Learning and Tynker released the BBC Doctor Who HiFive Inventor to engage the next
generation of coders.
● Imagination Technologies partnered with RIOS Laboratory to enable RIOS Lab to build a
complete development platform and open-source ecosystem for RISC-V single-board
computers.
● The European Processor Initiative finalized the first version of its RISC-V accelerator
architecture, named EPAC.
And from (some) of our members
● Alibaba unveiled its RV64GCV core that will be used for its Xuantie 910 processor aimed at cloud
and edge servers.
● Andes released new superscalar multicore processors and processors with Level-2 (L2) cache
controller.
● GreenWaves Technologies announced its ultra-low power GAP9 hearables platform that enables
scene-aware active noise cancellation and neural network-based noise reduction.
● Imperas Software debuted a reference model with UVM encapsulation for RISC-V verification.
● OpenHW Group implemented Imperas RISC-V reference models for coverage driven verification of
open source CORE-V processor IP cores.
@risc_v
@kamcmahon
@stephano
2021 will be even better!
Compatibility Framework & Architectural Tests
● Each new ISA extension requires tests
● RISC-V ISA Coverage with RISC-V ISAC
● RISC-V Compliance Test Generator with RISC-V
CTG
Embedded Groups
● Code Size, Fast Int, P Ext
● Embedded ABI (EABI)
● Software Overlay
● Standalone Debug
● Trusted Execution (TEE)
Ratifications
● Vector Extensions
● Cryptography
● Virtual Memory
● Bit Manipulation
@risc_v
@kamcmahon
@stephano
Embedded Task Groups
Software Overlay
● Lead by Western Digital & Embecosm
● Focused on loading code in Real-Time
● CoversFW manager engine and toolchain
Fast Interrupt Scheme
● Low-latency, Vectored, Priority-based, preemptive
● Hardware specifications and software ABIs/APIs
● Standardize compiler conventions for annotating handler
functions
@risc_v
@kamcmahon
@stephano
Embedded Task Groups
Code Size
● Holistic solution to reducing code size
● Priority given to small embedded cores
● Improved toolchain technology
Packed SIMD (P Extension)
● SIMD instructions on 8b, 16b, & 32b integer data types
● Non-SIMD DSP instructions on 16b, 32b & 64b
● Support saturation and rounding
● QEMU, Spike (sim), & toolchain work ongoing
@risc_v
@kamcmahon
@stephano
Enhanced Security Features
Extended Physical Memory Protection (PMP)
● Supervisor Mode Access/Execution Prevention
● Machine Security Configuration (mseccfg) ​machine mode CSR
Supervisor-mode PMP
● Provides per thread s-mode control registers
IO PMP
● Protects physical memory from all memory masters
● Supports scalable number of entries and error reporting
@risc_v
@kamcmahon
@stephano
What’s next
Participate!
● Join a working group: https://lists.riscv.org
● Become a member: https://riscv.org/membership/
Even Non-members Can Contribute
● https://github.com/riscv
● https://groups.google.com/a/groups.riscv.org
Want to continue the conversation with RISC-V! Jump over to the RISC-V Slack,
Embedded World channel.
risc-v-international.slack.com @risc_v
@kamcmahon
@stephano
Thank you
Visit our partners!
RISC-V Forums!
Short-form, single-topic events
Submit CFP: Submissions close March 5
Register!
Security
Embedded Technology
Developer Tools and Tool Chains
Embedded Industry

More Related Content

What's hot

Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...
Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...
Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...RISC-V International
 
Andes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorAndes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorRISC-V International
 
Coco co-desing and co-verification of masked software implementations on cp us
Coco   co-desing and co-verification of masked software implementations on cp usCoco   co-desing and co-verification of masked software implementations on cp us
Coco co-desing and co-verification of masked software implementations on cp usRISC-V International
 
Reverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipReverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipRISC-V International
 
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...RISC-V International
 
Semi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresSemi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresRISC-V International
 
Building an open control stack for quantum computers using RISC-V ecosystems
Building an open control stack for quantum computers using RISC-V ecosystemsBuilding an open control stack for quantum computers using RISC-V ecosystems
Building an open control stack for quantum computers using RISC-V ecosystemsRISC-V International
 
SemiDynamics new family of High Bandwidth Vector-capable Cores
SemiDynamics new family of High Bandwidth Vector-capable CoresSemiDynamics new family of High Bandwidth Vector-capable Cores
SemiDynamics new family of High Bandwidth Vector-capable CoresRISC-V International
 
Gernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundationGernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundationRISC-V International
 
RISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V International
 
Educating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-VEducating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-VRISC-V International
 
Static partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VStatic partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VRISC-V International
 
RISC-V Summit 2020: The Next Ten Years
RISC-V Summit 2020: The Next Ten YearsRISC-V Summit 2020: The Next Ten Years
RISC-V Summit 2020: The Next Ten YearsRISC-V International
 
RISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V International
 
Easily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg asEasily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg asRISC-V International
 

What's hot (20)

Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...
Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...
Esperanto accelerates machine learning with 1000+ low power RISC-V cores on a...
 
Andes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorAndes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processor
 
Coco co-desing and co-verification of masked software implementations on cp us
Coco   co-desing and co-verification of masked software implementations on cp usCoco   co-desing and co-verification of masked software implementations on cp us
Coco co-desing and co-verification of masked software implementations on cp us
 
Reverse Engineering of Rocket Chip
Reverse Engineering of Rocket ChipReverse Engineering of Rocket Chip
Reverse Engineering of Rocket Chip
 
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
Klessydra-T: Designing Configurable Vector Co-Processors for Multi-Threaded E...
 
Semi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V coresSemi dynamics high bandwidth vector capable RISC-V cores
Semi dynamics high bandwidth vector capable RISC-V cores
 
Building an open control stack for quantum computers using RISC-V ecosystems
Building an open control stack for quantum computers using RISC-V ecosystemsBuilding an open control stack for quantum computers using RISC-V ecosystems
Building an open control stack for quantum computers using RISC-V ecosystems
 
SemiDynamics new family of High Bandwidth Vector-capable Cores
SemiDynamics new family of High Bandwidth Vector-capable CoresSemiDynamics new family of High Bandwidth Vector-capable Cores
SemiDynamics new family of High Bandwidth Vector-capable Cores
 
Gernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundationGernot heiser unsw sydney and se l4 foundation
Gernot heiser unsw sydney and se l4 foundation
 
RISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor FamilyRISC-V NOEL-V - A new high performance RISC-V Processor Family
RISC-V NOEL-V - A new high performance RISC-V Processor Family
 
Educating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-VEducating the computer architects of tomorrow's critical systems with RISC-V
Educating the computer architects of tomorrow's critical systems with RISC-V
 
RISC-V Zce Extension
RISC-V Zce ExtensionRISC-V Zce Extension
RISC-V Zce Extension
 
Static partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-VStatic partitioning virtualization on RISC-V
Static partitioning virtualization on RISC-V
 
VF360 OpenVPX Board w. Altera Stratix and TI KeyStone DSP
VF360 OpenVPX Board w. Altera Stratix and TI KeyStone DSPVF360 OpenVPX Board w. Altera Stratix and TI KeyStone DSP
VF360 OpenVPX Board w. Altera Stratix and TI KeyStone DSP
 
RISC-V 30908 patra
RISC-V 30908 patraRISC-V 30908 patra
RISC-V 30908 patra
 
RISC-V Summit 2020: The Next Ten Years
RISC-V Summit 2020: The Next Ten YearsRISC-V Summit 2020: The Next Ten Years
RISC-V Summit 2020: The Next Ten Years
 
RISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmwareRISC-V 30906 hex five multi_zone iot firmware
RISC-V 30906 hex five multi_zone iot firmware
 
Sundance at the 49th Intelligent Sensing Program
Sundance at the 49th Intelligent Sensing ProgramSundance at the 49th Intelligent Sensing Program
Sundance at the 49th Intelligent Sensing Program
 
Easily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg asEasily emulating full systems on amazon fpg as
Easily emulating full systems on amazon fpg as
 
Open j9 jdk on RISC-V
Open j9 jdk on RISC-VOpen j9 jdk on RISC-V
Open j9 jdk on RISC-V
 

Similar to RISC-V growth and successes in technology and industry - embedded world 2021

“Seamless Deployment of Multimedia and Machine Learning Applications at the E...
“Seamless Deployment of Multimedia and Machine Learning Applications at the E...“Seamless Deployment of Multimedia and Machine Learning Applications at the E...
“Seamless Deployment of Multimedia and Machine Learning Applications at the E...Edge AI and Vision Alliance
 
Henry s software_engineer__resume _07_15_new
Henry s software_engineer__resume _07_15_newHenry s software_engineer__resume _07_15_new
Henry s software_engineer__resume _07_15_newHenry Sun
 
Anand_Agrawal_CV.pdf
Anand_Agrawal_CV.pdfAnand_Agrawal_CV.pdf
Anand_Agrawal_CV.pdfAnand Agrawal
 
HKG18-301 - Dramatically Accelerate 96Board Software via an FPGA with Integra...
HKG18-301 - Dramatically Accelerate 96Board Software via an FPGA with Integra...HKG18-301 - Dramatically Accelerate 96Board Software via an FPGA with Integra...
HKG18-301 - Dramatically Accelerate 96Board Software via an FPGA with Integra...Linaro
 
IDF_Eclipse_Plugin_EclipseCon2020_v2.pdf
IDF_Eclipse_Plugin_EclipseCon2020_v2.pdfIDF_Eclipse_Plugin_EclipseCon2020_v2.pdf
IDF_Eclipse_Plugin_EclipseCon2020_v2.pdfKondal Kolipaka
 
The Role of Standards in IoT Security
The Role of Standards in IoT SecurityThe Role of Standards in IoT Security
The Role of Standards in IoT SecurityHannes Tschofenig
 
Srikanth_PILLI_CV_latest
Srikanth_PILLI_CV_latestSrikanth_PILLI_CV_latest
Srikanth_PILLI_CV_latestSrikanth Pilli
 
Chirko, Kenneth Resume - long
Chirko, Kenneth Resume - longChirko, Kenneth Resume - long
Chirko, Kenneth Resume - longKenneth Chirko
 
Embedded services by Faststream Technologies
Embedded services by Faststream TechnologiesEmbedded services by Faststream Technologies
Embedded services by Faststream TechnologiesHari Narayana
 
Jay_Vicory_Resume_2018
Jay_Vicory_Resume_2018Jay_Vicory_Resume_2018
Jay_Vicory_Resume_2018Jay Vicory
 
IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...
IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...
IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...Christopher Diamantopoulos
 
Intel IoT Edge Computing 在 AI 領域的應用與商機
Intel IoT Edge Computing 在 AI 領域的應用與商機Intel IoT Edge Computing 在 AI 領域的應用與商機
Intel IoT Edge Computing 在 AI 領域的應用與商機Amazon Web Services
 
Kahuna Systems : Product Engineering Services
Kahuna Systems : Product Engineering ServicesKahuna Systems : Product Engineering Services
Kahuna Systems : Product Engineering Serviceskahunasystems
 
Semiconductor Design Services, IoT Solutions, IoT Consulting, IoT Solutions a...
Semiconductor Design Services, IoT Solutions, IoT Consulting, IoT Solutions a...Semiconductor Design Services, IoT Solutions, IoT Consulting, IoT Solutions a...
Semiconductor Design Services, IoT Solutions, IoT Consulting, IoT Solutions a...Moschip
 

Similar to RISC-V growth and successes in technology and industry - embedded world 2021 (20)

“Seamless Deployment of Multimedia and Machine Learning Applications at the E...
“Seamless Deployment of Multimedia and Machine Learning Applications at the E...“Seamless Deployment of Multimedia and Machine Learning Applications at the E...
“Seamless Deployment of Multimedia and Machine Learning Applications at the E...
 
Henry s software_engineer__resume _07_15_new
Henry s software_engineer__resume _07_15_newHenry s software_engineer__resume _07_15_new
Henry s software_engineer__resume _07_15_new
 
Resume18
Resume18Resume18
Resume18
 
Anand_Agrawal_CV.pdf
Anand_Agrawal_CV.pdfAnand_Agrawal_CV.pdf
Anand_Agrawal_CV.pdf
 
HKG18-301 - Dramatically Accelerate 96Board Software via an FPGA with Integra...
HKG18-301 - Dramatically Accelerate 96Board Software via an FPGA with Integra...HKG18-301 - Dramatically Accelerate 96Board Software via an FPGA with Integra...
HKG18-301 - Dramatically Accelerate 96Board Software via an FPGA with Integra...
 
IDF_Eclipse_Plugin_EclipseCon2020_v2.pdf
IDF_Eclipse_Plugin_EclipseCon2020_v2.pdfIDF_Eclipse_Plugin_EclipseCon2020_v2.pdf
IDF_Eclipse_Plugin_EclipseCon2020_v2.pdf
 
The Role of Standards in IoT Security
The Role of Standards in IoT SecurityThe Role of Standards in IoT Security
The Role of Standards in IoT Security
 
verification resume
verification resumeverification resume
verification resume
 
Srikanth_PILLI_CV_latest
Srikanth_PILLI_CV_latestSrikanth_PILLI_CV_latest
Srikanth_PILLI_CV_latest
 
Chirko, Kenneth Resume - long
Chirko, Kenneth Resume - longChirko, Kenneth Resume - long
Chirko, Kenneth Resume - long
 
Embedded services by Faststream Technologies
Embedded services by Faststream TechnologiesEmbedded services by Faststream Technologies
Embedded services by Faststream Technologies
 
Jay_Vicory_Resume_2018
Jay_Vicory_Resume_2018Jay_Vicory_Resume_2018
Jay_Vicory_Resume_2018
 
IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...
IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...
IMAGE CAPTURE, PROCESSING AND TRANSFER VIA ETHERNET UNDER CONTROL OF MATLAB G...
 
Arumugam petchimuthu pdf
Arumugam petchimuthu pdfArumugam petchimuthu pdf
Arumugam petchimuthu pdf
 
VenutoResume
VenutoResumeVenutoResume
VenutoResume
 
Intel IoT Edge Computing 在 AI 領域的應用與商機
Intel IoT Edge Computing 在 AI 領域的應用與商機Intel IoT Edge Computing 在 AI 領域的應用與商機
Intel IoT Edge Computing 在 AI 領域的應用與商機
 
Kahuna Systems : Product Engineering Services
Kahuna Systems : Product Engineering ServicesKahuna Systems : Product Engineering Services
Kahuna Systems : Product Engineering Services
 
Resume M_Shams_qureshi
Resume M_Shams_qureshi Resume M_Shams_qureshi
Resume M_Shams_qureshi
 
ArumugamPetchimuthu_CV
ArumugamPetchimuthu_CVArumugamPetchimuthu_CV
ArumugamPetchimuthu_CV
 
Semiconductor Design Services, IoT Solutions, IoT Consulting, IoT Solutions a...
Semiconductor Design Services, IoT Solutions, IoT Consulting, IoT Solutions a...Semiconductor Design Services, IoT Solutions, IoT Consulting, IoT Solutions a...
Semiconductor Design Services, IoT Solutions, IoT Consulting, IoT Solutions a...
 

More from RISC-V International

London Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VLondon Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VRISC-V International
 
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...RISC-V International
 
Standardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VStandardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VRISC-V International
 
RISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V International
 
RISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V International
 
RISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V International
 
RISC-V software state of the union
RISC-V software state of the unionRISC-V software state of the union
RISC-V software state of the unionRISC-V International
 
Ripes tracking computer architecture throught visual and interactive simula...
Ripes   tracking computer architecture throught visual and interactive simula...Ripes   tracking computer architecture throught visual and interactive simula...
Ripes tracking computer architecture throught visual and interactive simula...RISC-V International
 
Open source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeOpen source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeRISC-V International
 
Online test program generator for RISC-V processors
Online test program generator for RISC-V processorsOnline test program generator for RISC-V processors
Online test program generator for RISC-V processorsRISC-V International
 
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...RISC-V International
 

More from RISC-V International (17)

WD RISC-V inliner work effort
WD RISC-V inliner work effortWD RISC-V inliner work effort
WD RISC-V inliner work effort
 
RISC-V Online Tutor
RISC-V Online TutorRISC-V Online Tutor
RISC-V Online Tutor
 
London Open Source Meetup for RISC-V
London Open Source Meetup for RISC-VLondon Open Source Meetup for RISC-V
London Open Source Meetup for RISC-V
 
RISC-V Introduction
RISC-V IntroductionRISC-V Introduction
RISC-V Introduction
 
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...Ziptillion   boosting RISC-V with an efficient and os transparent memory comp...
Ziptillion boosting RISC-V with an efficient and os transparent memory comp...
 
Standardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-VStandardizing the tee with global platform and RISC-V
Standardizing the tee with global platform and RISC-V
 
Security and functional safety
Security and functional safetySecurity and functional safety
Security and functional safety
 
RISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_genRISC-V 30910 kassem_ summit 2020 - so_c_gen
RISC-V 30910 kassem_ summit 2020 - so_c_gen
 
RISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentorRISC-V 30907 summit 2020 joint picocom_mentor
RISC-V 30907 summit 2020 joint picocom_mentor
 
RISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notesRISC-V 30946 manuel_offenberg_v3_notes
RISC-V 30946 manuel_offenberg_v3_notes
 
RISC-V software state of the union
RISC-V software state of the unionRISC-V software state of the union
RISC-V software state of the union
 
Ripes tracking computer architecture throught visual and interactive simula...
Ripes   tracking computer architecture throught visual and interactive simula...Ripes   tracking computer architecture throught visual and interactive simula...
Ripes tracking computer architecture throught visual and interactive simula...
 
Porting tock to open titan
Porting tock to open titanPorting tock to open titan
Porting tock to open titan
 
Open source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process nodeOpen source manufacturable pdk for sky water 130nm process node
Open source manufacturable pdk for sky water 130nm process node
 
Online test program generator for RISC-V processors
Online test program generator for RISC-V processorsOnline test program generator for RISC-V processors
Online test program generator for RISC-V processors
 
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
Klessydra t - designing vector coprocessors for multi-threaded edge-computing...
 
Developing for polar fire soc
Developing for polar fire socDeveloping for polar fire soc
Developing for polar fire soc
 

Recently uploaded

Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptxLBM Solutions
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupFlorian Wilhelm
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr BaganFwdays
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Neo4j
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubKalema Edgar
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
Science&tech:THE INFORMATION AGE STS.pdf
Science&tech:THE INFORMATION AGE STS.pdfScience&tech:THE INFORMATION AGE STS.pdf
Science&tech:THE INFORMATION AGE STS.pdfjimielynbastida
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsRizwan Syed
 
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024BookNet Canada
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):comworks
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions
 

Recently uploaded (20)

Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptx
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
Streamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project SetupStreamlining Python Development: A Guide to a Modern Project Setup
Streamlining Python Development: A Guide to a Modern Project Setup
 
"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan"ML in Production",Oleksandr Bagan
"ML in Production",Oleksandr Bagan
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024Build your next Gen AI Breakthrough - April 2024
Build your next Gen AI Breakthrough - April 2024
 
Unleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding ClubUnleash Your Potential - Namagunga Girls Coding Club
Unleash Your Potential - Namagunga Girls Coding Club
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
DMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special EditionDMCC Future of Trade Web3 - Special Edition
DMCC Future of Trade Web3 - Special Edition
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptxE-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
E-Vehicle_Hacking_by_Parul Sharma_null_owasp.pptx
 
Science&tech:THE INFORMATION AGE STS.pdf
Science&tech:THE INFORMATION AGE STS.pdfScience&tech:THE INFORMATION AGE STS.pdf
Science&tech:THE INFORMATION AGE STS.pdf
 
Scanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL CertsScanning the Internet for External Cloud Exposures via SSL Certs
Scanning the Internet for External Cloud Exposures via SSL Certs
 
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
New from BookNet Canada for 2024: BNC BiblioShare - Tech Forum 2024
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):CloudStudio User manual (basic edition):
CloudStudio User manual (basic edition):
 
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort ServiceHot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
Hot Sexy call girls in Panjabi Bagh 🔝 9953056974 🔝 Delhi escort Service
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food Manufacturing
 

RISC-V growth and successes in technology and industry - embedded world 2021

  • 1. RISC-V Growth and Successes in Technology and Industry embedded world 2021 Kim McMahon Director of Marketing RISC-V International @kamcmahon Stephano Cetola Technical Program Manager RISC-V International @stephano
  • 2. Welcome to the RISC-V presentation! Meet: Kim McMahon Director of Marketing Kim has a deep background in open source and technology. She has spent her career with companies such as SGI, Cray, VMware, and the {code} Team at Dell, where she honed her love for HPC, open source, and cloud native. A long-time Colorado girl, she lives in Winter Park, Colorado and enjoys hiking, skiing, and outdoor activities with her two labradors Coal and Connor. Stephano Cetola Program Manager Stephano is a technical program manager for RISC-V International. He has worked on and managed numerous open source initiatives in software and hardware. Before joining the RISC-V team, Stephano was employed at Intel contributing to the Yocto Project building embedded Linux distros and working on TianoCore, an open source implementation of UEFI. He is involved in research at Portland State University focusing on Trusted Execution Environments and hardware security testing. @kamcmahon @stephano
  • 3. Who is RISC-V? RISC-V is the free and open ISA ... Driven through Open collaboration ... Enabling freedom of design across all domains and industries ... Cementing the strategic foundation of semiconductors Welcome to the Open era of computing! @risc_v @kamcmahon @stephano
  • 4. 2020 was amazing! Technical: RISC-V Processor trace specification ratified In the news... ● BBC Learning and Tynker released the BBC Doctor Who HiFive Inventor to engage the next generation of coders. ● Imagination Technologies partnered with RIOS Laboratory to enable RIOS Lab to build a complete development platform and open-source ecosystem for RISC-V single-board computers. ● The European Processor Initiative finalized the first version of its RISC-V accelerator architecture, named EPAC. And from (some) of our members ● Alibaba unveiled its RV64GCV core that will be used for its Xuantie 910 processor aimed at cloud and edge servers. ● Andes released new superscalar multicore processors and processors with Level-2 (L2) cache controller. ● GreenWaves Technologies announced its ultra-low power GAP9 hearables platform that enables scene-aware active noise cancellation and neural network-based noise reduction. ● Imperas Software debuted a reference model with UVM encapsulation for RISC-V verification. ● OpenHW Group implemented Imperas RISC-V reference models for coverage driven verification of open source CORE-V processor IP cores. @risc_v @kamcmahon @stephano
  • 5. 2021 will be even better! Compatibility Framework & Architectural Tests ● Each new ISA extension requires tests ● RISC-V ISA Coverage with RISC-V ISAC ● RISC-V Compliance Test Generator with RISC-V CTG Embedded Groups ● Code Size, Fast Int, P Ext ● Embedded ABI (EABI) ● Software Overlay ● Standalone Debug ● Trusted Execution (TEE) Ratifications ● Vector Extensions ● Cryptography ● Virtual Memory ● Bit Manipulation @risc_v @kamcmahon @stephano
  • 6. Embedded Task Groups Software Overlay ● Lead by Western Digital & Embecosm ● Focused on loading code in Real-Time ● CoversFW manager engine and toolchain Fast Interrupt Scheme ● Low-latency, Vectored, Priority-based, preemptive ● Hardware specifications and software ABIs/APIs ● Standardize compiler conventions for annotating handler functions @risc_v @kamcmahon @stephano
  • 7. Embedded Task Groups Code Size ● Holistic solution to reducing code size ● Priority given to small embedded cores ● Improved toolchain technology Packed SIMD (P Extension) ● SIMD instructions on 8b, 16b, & 32b integer data types ● Non-SIMD DSP instructions on 16b, 32b & 64b ● Support saturation and rounding ● QEMU, Spike (sim), & toolchain work ongoing @risc_v @kamcmahon @stephano
  • 8. Enhanced Security Features Extended Physical Memory Protection (PMP) ● Supervisor Mode Access/Execution Prevention ● Machine Security Configuration (mseccfg) ​machine mode CSR Supervisor-mode PMP ● Provides per thread s-mode control registers IO PMP ● Protects physical memory from all memory masters ● Supports scalable number of entries and error reporting @risc_v @kamcmahon @stephano
  • 9. What’s next Participate! ● Join a working group: https://lists.riscv.org ● Become a member: https://riscv.org/membership/ Even Non-members Can Contribute ● https://github.com/riscv ● https://groups.google.com/a/groups.riscv.org Want to continue the conversation with RISC-V! Jump over to the RISC-V Slack, Embedded World channel. risc-v-international.slack.com @risc_v @kamcmahon @stephano
  • 10. Thank you Visit our partners!
  • 11. RISC-V Forums! Short-form, single-topic events Submit CFP: Submissions close March 5 Register! Security Embedded Technology Developer Tools and Tool Chains Embedded Industry

Editor's Notes

  1. nadiska.beer@nuernbergmesse.de Email and ask if they could add it as a video