SlideShare a Scribd company logo
TM




     Need of AMS simulation in
     Mix IP verification: benefits
           and challenges
Mix IP

Need of AMS model for Mix IP
Verification.

Challenges in AMS Verification
   Randomizing electrical signals
   Basic Knowledge of AMS


Issues Caught because of AMS
simulation.
   TM                  2
In Mix IP we have two parts

 Analog part:- which is purely analog in nature. It has
 electrical and digital signal interface.

 Digital part:-Around the Analog part there is a digital
 wrapper which has purely digital interface and it drives,
 control and collect the analog modules response.


Examples for Mix IP’s :- ADC, PLL, VREG, DAC


        TM                  3
Analog
                             Part
Avin




               Digital Wrapper




            Mix IP
       TM            4
Digital part drives, control and collects the analog response.

 So both parts becomes interdependent.

 To verify analog part we need proper digital response.

 Similarly to verify digital part we need proper analog response

 Say if analog model is comparing two voltages and based on which
one is greater its sending a signal bit o/p

  In this case in verilog model we can’t model it, and if this o/p is
supposed to be captured and responded back by digital block then
we can’t verify digital block with this model.


             TM                      5
SAR ADC



TM             6
Need of VAMS drivers in testbench

    Randomization of Electrical signals.

    Basic Knowledge of AMS for setting voltage domain and
    controlling dumping of electrical signals.

    Tool side :- AMS simulation requires fresh compilation and
    elaboration every time. *

    Sign off by qualification tools can’t be given because most of
    them work on signal compilation concept.
Note :-
* Applicable on Cadence tools




                TM                7
Electrical signals are represents by real numbers.

System verilog does not allows to randomize real number
directly.

So to randomize these real number we had to take a another
approach.

Where we randomized integer to X times the range and then
divide randomized values by X and assign to real number.

By this X we can decide how many bit after decimal is
expected in real number

         TM                   8
rand int   P_CH_V_val_x100000;
real       P_CH_V_real_x100000;
real       P_CH_V_real;
constraint channel_voltage_range_P1
   { P_CH_V_val_x100000 inside {[0:MAX_V_x100000]}; }

P_CH_V_real_x100000 = P_CH_V_val_x100000;
P_CH_V_real = P_CH_V_real_x100000 / 100000;


In VAMS driver :-
output V_out;
electrical V_out;
analog begin
V(V_out,gnd_node) <+ transition(P_CH_V_real,10p,100p);
end



           TM                       9
For driving analog signals from Testbench we again
need VAMS driver.

 In drive we pass the randomized real value and from
here this voltage is driven to the Testbench

  Then we connect these drivers to the top level pins of
the design.




      TM                 10
For debugging we always need wave from.
  These electrical signals are by default not dumped in the
data base.
  We need to pass a .scs file to signify the voltage domain on
which a block is working.
  The name of the .scs file depend on the hierarchy of design
  For Example for hierarchy testbench.top we need a file with
name top.scs
  After adding this file it will get the voltage domain
information and will start dumping wave from for all the runs.
  To disable this dumping we have to set this option.
             amsOptions options save=nooutput
Note :-
•   Applicable on Cadence tools

                      TM          11
// analog control file: top.scs

simulator lang=spectre

amsAnalysis tran stop=1 step=1p errpreset=moderate
//amsOptions options save=nooutput

amsd {
  ie vsup=3.0
}
// At top instance it will covert all the 1.2V signal to 3.0V
Note :-
* Applicable on Cadence tools




               TM               12
TM   13
Analog Watchdog corner case issue caught :- When the
converted data and analog watchdog upper or lower limit
were matching digital part was giving a wrong result.


ADC offset issue:- It was again similar issue where in rtl in a
compare logic less then was used where it had to be a less
then or equal to .


Calibration sequence issue :- where we had to compare sum
of last two SAR result against some fix values and we had
taken only few bits of summed result in compare logic.



         TM                    14
Q&A




TM    15

More Related Content

Similar to Need of AMS Simulation in Mix IP Verification: Benefits and Challenges

MODULE-2_SIGNAL_CONDITIONING.pptx
MODULE-2_SIGNAL_CONDITIONING.pptxMODULE-2_SIGNAL_CONDITIONING.pptx
MODULE-2_SIGNAL_CONDITIONING.pptx
Manjunathtv2
 
ADC - Types (Analog to Digital Converter)
ADC - Types (Analog to Digital Converter)ADC - Types (Analog to Digital Converter)
ADC - Types (Analog to Digital Converter)
National Engineering College
 
Digital voltmeter (DVM) and its Classification
Digital voltmeter (DVM) and its ClassificationDigital voltmeter (DVM) and its Classification
Digital voltmeter (DVM) and its Classification
ST. MARTIN'S ENGINEERING COLLEGE
 
Digital design chap 6
Digital design  chap 6Digital design  chap 6
Digital design chap 6
Mohammad Bappy
 
Gsm based transformer fault detection system
Gsm based transformer fault detection systemGsm based transformer fault detection system
Gsm based transformer fault detection system
Kabilesh K
 
Unit IV DA & AD Convertors and Phase Locked Loop
Unit IV  DA & AD Convertors and Phase Locked LoopUnit IV  DA & AD Convertors and Phase Locked Loop
Unit IV DA & AD Convertors and Phase Locked Loop
Dr.Raja R
 
LTE KPI Optimization - A to Z Abiola.pptx
LTE KPI Optimization - A to Z Abiola.pptxLTE KPI Optimization - A to Z Abiola.pptx
LTE KPI Optimization - A to Z Abiola.pptx
ssuser574918
 
Adc&dac
Adc&dacAdc&dac
Adc&dac
9014492562
 
fundamentos laboratorio
fundamentos laboratorio fundamentos laboratorio
fundamentos laboratorio
John B
 
Interfacing to the analog world
Interfacing to the analog worldInterfacing to the analog world
Interfacing to the analog world
Islam Samir
 
Mixed signal verification challenges
Mixed signal verification challengesMixed signal verification challenges
Mixed signal verification challenges
Régis SANTONJA
 
ANALOG TO DIGITAL CONVERTOR
ANALOG TO DIGITAL CONVERTORANALOG TO DIGITAL CONVERTOR
ANALOG TO DIGITAL CONVERTOR
Anil Yadav
 
Development of Digital Controller for DC-DC Buck Converter
Development of Digital Controller for DC-DC Buck ConverterDevelopment of Digital Controller for DC-DC Buck Converter
Development of Digital Controller for DC-DC Buck Converter
IJPEDS-IAES
 
Diodes
DiodesDiodes
Meeting w10 chapter 3 part 3
Meeting w10   chapter 3 part 3Meeting w10   chapter 3 part 3
Meeting w10 chapter 3 part 3
Hattori Sidek
 
Amvdd Data Converter Fundamentals
Amvdd Data Converter FundamentalsAmvdd Data Converter Fundamentals
Amvdd Data Converter Fundamentals
Niket Chandrashekar
 
ECNG 3015 - Overcurrent Protection
ECNG 3015 - Overcurrent ProtectionECNG 3015 - Overcurrent Protection
ECNG 3015 - Overcurrent Protection
Chandrabhan Sharma
 
Digital Control Systems
Digital  Control   Systems Digital  Control   Systems
Digital Control Systems
Ashok Kumar Barla
 
Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...
Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...
Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...
ijsrd.com
 
Nsac l2 r1-adc-servos_battery
Nsac l2 r1-adc-servos_batteryNsac l2 r1-adc-servos_battery
Nsac l2 r1-adc-servos_battery
Marc Cortes-Fargas
 

Similar to Need of AMS Simulation in Mix IP Verification: Benefits and Challenges (20)

MODULE-2_SIGNAL_CONDITIONING.pptx
MODULE-2_SIGNAL_CONDITIONING.pptxMODULE-2_SIGNAL_CONDITIONING.pptx
MODULE-2_SIGNAL_CONDITIONING.pptx
 
ADC - Types (Analog to Digital Converter)
ADC - Types (Analog to Digital Converter)ADC - Types (Analog to Digital Converter)
ADC - Types (Analog to Digital Converter)
 
Digital voltmeter (DVM) and its Classification
Digital voltmeter (DVM) and its ClassificationDigital voltmeter (DVM) and its Classification
Digital voltmeter (DVM) and its Classification
 
Digital design chap 6
Digital design  chap 6Digital design  chap 6
Digital design chap 6
 
Gsm based transformer fault detection system
Gsm based transformer fault detection systemGsm based transformer fault detection system
Gsm based transformer fault detection system
 
Unit IV DA & AD Convertors and Phase Locked Loop
Unit IV  DA & AD Convertors and Phase Locked LoopUnit IV  DA & AD Convertors and Phase Locked Loop
Unit IV DA & AD Convertors and Phase Locked Loop
 
LTE KPI Optimization - A to Z Abiola.pptx
LTE KPI Optimization - A to Z Abiola.pptxLTE KPI Optimization - A to Z Abiola.pptx
LTE KPI Optimization - A to Z Abiola.pptx
 
Adc&dac
Adc&dacAdc&dac
Adc&dac
 
fundamentos laboratorio
fundamentos laboratorio fundamentos laboratorio
fundamentos laboratorio
 
Interfacing to the analog world
Interfacing to the analog worldInterfacing to the analog world
Interfacing to the analog world
 
Mixed signal verification challenges
Mixed signal verification challengesMixed signal verification challenges
Mixed signal verification challenges
 
ANALOG TO DIGITAL CONVERTOR
ANALOG TO DIGITAL CONVERTORANALOG TO DIGITAL CONVERTOR
ANALOG TO DIGITAL CONVERTOR
 
Development of Digital Controller for DC-DC Buck Converter
Development of Digital Controller for DC-DC Buck ConverterDevelopment of Digital Controller for DC-DC Buck Converter
Development of Digital Controller for DC-DC Buck Converter
 
Diodes
DiodesDiodes
Diodes
 
Meeting w10 chapter 3 part 3
Meeting w10   chapter 3 part 3Meeting w10   chapter 3 part 3
Meeting w10 chapter 3 part 3
 
Amvdd Data Converter Fundamentals
Amvdd Data Converter FundamentalsAmvdd Data Converter Fundamentals
Amvdd Data Converter Fundamentals
 
ECNG 3015 - Overcurrent Protection
ECNG 3015 - Overcurrent ProtectionECNG 3015 - Overcurrent Protection
ECNG 3015 - Overcurrent Protection
 
Digital Control Systems
Digital  Control   Systems Digital  Control   Systems
Digital Control Systems
 
Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...
Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...
Simulation of 3 bit Flash ADC in 0.18μmTechnology using NG SPICE Tool for Hig...
 
Nsac l2 r1-adc-servos_battery
Nsac l2 r1-adc-servos_batteryNsac l2 r1-adc-servos_battery
Nsac l2 r1-adc-servos_battery
 

More from DVClub

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
DVClub
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
DVClub
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
DVClub
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
DVClub
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
DVClub
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
DVClub
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
DVClub
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
DVClub
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
DVClub
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
DVClub
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
DVClub
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
DVClub
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
DVClub
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
DVClub
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
DVClub
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
DVClub
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
DVClub
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
DVClub
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
DVClub
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
DVClub
 

More from DVClub (20)

IP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the EnterpriseIP Reuse Impact on Design Verification Management Across the Enterprise
IP Reuse Impact on Design Verification Management Across the Enterprise
 
Cisco Base Environment Overview
Cisco Base Environment OverviewCisco Base Environment Overview
Cisco Base Environment Overview
 
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and ChallengesIntel Xeon Pre-Silicon Validation: Introduction and Challenges
Intel Xeon Pre-Silicon Validation: Introduction and Challenges
 
Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)Verification of Graphics ASICs (Part II)
Verification of Graphics ASICs (Part II)
 
Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)Verification of Graphics ASICs (Part I)
Verification of Graphics ASICs (Part I)
 
Stop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification MethodologyStop Writing Assertions! Efficient Verification Methodology
Stop Writing Assertions! Efficient Verification Methodology
 
Validating Next Generation CPUs
Validating Next Generation CPUsValidating Next Generation CPUs
Validating Next Generation CPUs
 
Verification Automation Using IPXACT
Verification Automation Using IPXACTVerification Automation Using IPXACT
Verification Automation Using IPXACT
 
Validation and Design in a Small Team Environment
Validation and Design in a Small Team EnvironmentValidation and Design in a Small Team Environment
Validation and Design in a Small Team Environment
 
Trends in Mixed Signal Validation
Trends in Mixed Signal ValidationTrends in Mixed Signal Validation
Trends in Mixed Signal Validation
 
Verification In A Global Design Community
Verification In A Global Design CommunityVerification In A Global Design Community
Verification In A Global Design Community
 
Design Verification Using SystemC
Design Verification Using SystemCDesign Verification Using SystemC
Design Verification Using SystemC
 
Verification Strategy for PCI-Express
Verification Strategy for PCI-ExpressVerification Strategy for PCI-Express
Verification Strategy for PCI-Express
 
SystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification ProcessSystemVerilog Assertions (SVA) in the Design/Verification Process
SystemVerilog Assertions (SVA) in the Design/Verification Process
 
Efficiency Through Methodology
Efficiency Through MethodologyEfficiency Through Methodology
Efficiency Through Methodology
 
Pre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si ValidationPre-Si Verification for Post-Si Validation
Pre-Si Verification for Post-Si Validation
 
OpenSPARC T1 Processor
OpenSPARC T1 ProcessorOpenSPARC T1 Processor
OpenSPARC T1 Processor
 
Intel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification ExperienceIntel Atom Processor Pre-Silicon Verification Experience
Intel Atom Processor Pre-Silicon Verification Experience
 
Using Assertions in AMS Verification
Using Assertions in AMS VerificationUsing Assertions in AMS Verification
Using Assertions in AMS Verification
 
Low-Power Design and Verification
Low-Power Design and VerificationLow-Power Design and Verification
Low-Power Design and Verification
 

Recently uploaded

GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
Neo4j
 
Pushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 daysPushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 days
Adtran
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
Octavian Nadolu
 
Uni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdfUni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems S.M.S.A.
 
Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?
Nexer Digital
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
Neo4j
 
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
Neo4j
 
UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5
DianaGray10
 
Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1
DianaGray10
 
Climate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing DaysClimate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing Days
Kari Kakkonen
 
Removing Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software FuzzingRemoving Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software Fuzzing
Aftab Hussain
 
How to Get CNIC Information System with Paksim Ga.pptx
How to Get CNIC Information System with Paksim Ga.pptxHow to Get CNIC Information System with Paksim Ga.pptx
How to Get CNIC Information System with Paksim Ga.pptx
danishmna97
 
Introduction to CHERI technology - Cybersecurity
Introduction to CHERI technology - CybersecurityIntroduction to CHERI technology - Cybersecurity
Introduction to CHERI technology - Cybersecurity
mikeeftimakis1
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
Kumud Singh
 
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdfUnlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Malak Abu Hammad
 
Essentials of Automations: The Art of Triggers and Actions in FME
Essentials of Automations: The Art of Triggers and Actions in FMEEssentials of Automations: The Art of Triggers and Actions in FME
Essentials of Automations: The Art of Triggers and Actions in FME
Safe Software
 
By Design, not by Accident - Agile Venture Bolzano 2024
By Design, not by Accident - Agile Venture Bolzano 2024By Design, not by Accident - Agile Venture Bolzano 2024
By Design, not by Accident - Agile Venture Bolzano 2024
Pierluigi Pugliese
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance
 
A tale of scale & speed: How the US Navy is enabling software delivery from l...
A tale of scale & speed: How the US Navy is enabling software delivery from l...A tale of scale & speed: How the US Navy is enabling software delivery from l...
A tale of scale & speed: How the US Navy is enabling software delivery from l...
sonjaschweigert1
 
GridMate - End to end testing is a critical piece to ensure quality and avoid...
GridMate - End to end testing is a critical piece to ensure quality and avoid...GridMate - End to end testing is a critical piece to ensure quality and avoid...
GridMate - End to end testing is a critical piece to ensure quality and avoid...
ThomasParaiso2
 

Recently uploaded (20)

GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
GraphSummit Singapore | Neo4j Product Vision & Roadmap - Q2 2024
 
Pushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 daysPushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 days
 
Artificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopmentArtificial Intelligence for XMLDevelopment
Artificial Intelligence for XMLDevelopment
 
Uni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdfUni Systems Copilot event_05062024_C.Vlachos.pdf
Uni Systems Copilot event_05062024_C.Vlachos.pdf
 
Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
 
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
GraphSummit Singapore | Graphing Success: Revolutionising Organisational Stru...
 
UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5UiPath Test Automation using UiPath Test Suite series, part 5
UiPath Test Automation using UiPath Test Suite series, part 5
 
Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1
 
Climate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing DaysClimate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing Days
 
Removing Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software FuzzingRemoving Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software Fuzzing
 
How to Get CNIC Information System with Paksim Ga.pptx
How to Get CNIC Information System with Paksim Ga.pptxHow to Get CNIC Information System with Paksim Ga.pptx
How to Get CNIC Information System with Paksim Ga.pptx
 
Introduction to CHERI technology - Cybersecurity
Introduction to CHERI technology - CybersecurityIntroduction to CHERI technology - Cybersecurity
Introduction to CHERI technology - Cybersecurity
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
 
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdfUnlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdf
 
Essentials of Automations: The Art of Triggers and Actions in FME
Essentials of Automations: The Art of Triggers and Actions in FMEEssentials of Automations: The Art of Triggers and Actions in FME
Essentials of Automations: The Art of Triggers and Actions in FME
 
By Design, not by Accident - Agile Venture Bolzano 2024
By Design, not by Accident - Agile Venture Bolzano 2024By Design, not by Accident - Agile Venture Bolzano 2024
By Design, not by Accident - Agile Venture Bolzano 2024
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
 
A tale of scale & speed: How the US Navy is enabling software delivery from l...
A tale of scale & speed: How the US Navy is enabling software delivery from l...A tale of scale & speed: How the US Navy is enabling software delivery from l...
A tale of scale & speed: How the US Navy is enabling software delivery from l...
 
GridMate - End to end testing is a critical piece to ensure quality and avoid...
GridMate - End to end testing is a critical piece to ensure quality and avoid...GridMate - End to end testing is a critical piece to ensure quality and avoid...
GridMate - End to end testing is a critical piece to ensure quality and avoid...
 

Need of AMS Simulation in Mix IP Verification: Benefits and Challenges

  • 1. TM Need of AMS simulation in Mix IP verification: benefits and challenges
  • 2. Mix IP Need of AMS model for Mix IP Verification. Challenges in AMS Verification Randomizing electrical signals Basic Knowledge of AMS Issues Caught because of AMS simulation. TM 2
  • 3. In Mix IP we have two parts Analog part:- which is purely analog in nature. It has electrical and digital signal interface. Digital part:-Around the Analog part there is a digital wrapper which has purely digital interface and it drives, control and collect the analog modules response. Examples for Mix IP’s :- ADC, PLL, VREG, DAC TM 3
  • 4. Analog Part Avin Digital Wrapper Mix IP TM 4
  • 5. Digital part drives, control and collects the analog response. So both parts becomes interdependent. To verify analog part we need proper digital response. Similarly to verify digital part we need proper analog response Say if analog model is comparing two voltages and based on which one is greater its sending a signal bit o/p In this case in verilog model we can’t model it, and if this o/p is supposed to be captured and responded back by digital block then we can’t verify digital block with this model. TM 5
  • 7. Need of VAMS drivers in testbench Randomization of Electrical signals. Basic Knowledge of AMS for setting voltage domain and controlling dumping of electrical signals. Tool side :- AMS simulation requires fresh compilation and elaboration every time. * Sign off by qualification tools can’t be given because most of them work on signal compilation concept. Note :- * Applicable on Cadence tools TM 7
  • 8. Electrical signals are represents by real numbers. System verilog does not allows to randomize real number directly. So to randomize these real number we had to take a another approach. Where we randomized integer to X times the range and then divide randomized values by X and assign to real number. By this X we can decide how many bit after decimal is expected in real number TM 8
  • 9. rand int P_CH_V_val_x100000; real P_CH_V_real_x100000; real P_CH_V_real; constraint channel_voltage_range_P1 { P_CH_V_val_x100000 inside {[0:MAX_V_x100000]}; } P_CH_V_real_x100000 = P_CH_V_val_x100000; P_CH_V_real = P_CH_V_real_x100000 / 100000; In VAMS driver :- output V_out; electrical V_out; analog begin V(V_out,gnd_node) <+ transition(P_CH_V_real,10p,100p); end TM 9
  • 10. For driving analog signals from Testbench we again need VAMS driver. In drive we pass the randomized real value and from here this voltage is driven to the Testbench Then we connect these drivers to the top level pins of the design. TM 10
  • 11. For debugging we always need wave from. These electrical signals are by default not dumped in the data base. We need to pass a .scs file to signify the voltage domain on which a block is working. The name of the .scs file depend on the hierarchy of design For Example for hierarchy testbench.top we need a file with name top.scs After adding this file it will get the voltage domain information and will start dumping wave from for all the runs. To disable this dumping we have to set this option. amsOptions options save=nooutput Note :- • Applicable on Cadence tools TM 11
  • 12. // analog control file: top.scs simulator lang=spectre amsAnalysis tran stop=1 step=1p errpreset=moderate //amsOptions options save=nooutput amsd { ie vsup=3.0 } // At top instance it will covert all the 1.2V signal to 3.0V Note :- * Applicable on Cadence tools TM 12
  • 13. TM 13
  • 14. Analog Watchdog corner case issue caught :- When the converted data and analog watchdog upper or lower limit were matching digital part was giving a wrong result. ADC offset issue:- It was again similar issue where in rtl in a compare logic less then was used where it had to be a less then or equal to . Calibration sequence issue :- where we had to compare sum of last two SAR result against some fix values and we had taken only few bits of summed result in compare logic. TM 14
  • 15. Q&A TM 15