SlideShare a Scribd company logo
1 of 12
Download to read offline
Sequential Circuits

                       x                                  w
                                    Combinational




                               v0
                                      Storage
                                                    v0+
                               v1     elements
                       clock                        v1+
                                Huffman Model
A combinational circuit and storage elements are interconnected to form
a sequencial circuit.
The information stored at any time defines the state of the circuit at
that time.
The next state of the storage elements is a function of the inputs and
the present state.
Synchronous sequential circuit can be defined from the knowledge of its
signals at discrete instants.
  March 28, 2006                                                          1
Sequential Circuit Design


1- Obtain either the state diagram or state table from the
problem specs.
2- If we don’t have one already, obtain the state table
from the state diagram.
3- Assign binary codes to the states.
4- Derive the FF input equations from the next state
entries of the state table.
5- Derive the output equations from the output entries of
the state table.



March 28, 2006                                            2
Sequential Circuit Design

  Design a sequence detector for the string “1101”. The
  output must be ‘1’ when the input matches this string



                   x            Sequence
                                              w
                 clock          detector




March 28, 2006                                            3
Sequential Circuit Design

Mealy state machine (remember that in this state machine
the output is dependent on input changes and states)
                                       1/0
                       1/0       1/0         0/0
          0/0


                 A           B          C          D
                       0/0
                                       1/1

                                 0/0


Assign binary values to each state. Example:
A = 00, B = 01, C = 11, D = 10


March 28, 2006                                             4
Sequential Circuit Design
Make Table FROM present state & input TO next state &
output, and FF inputs.     J K Q(t+1)  Operation
                              0   0   Q(t)     No change
                              0   1    0         Reset
                              1   0    1          Set
                              1   1   Q’(t)   Complement




    A

    B

    C

    D


March 28, 2006                                             5
Sequential Circuit Design
                   K-maps of the states & the outputs
                                                        Q1 Q0   Output = IQ1Q0’
                                                    I
                                                                00    01   11       10
                                                         0      0      0    0       0
                                                         1      0      0    0       1




    Q1 Q0          J1 = IQ0                Q1 Q0                K1 = Q0’
I                                      I
              00   01       11   10
                                                         00     01   11    10
         0    0     0       X    X              0        X      X     0    1
         1    0     1       X    X
                                                1        X      X     0    1


    Q1 Q0          J0 = I                  Q1 Q0                K0 = I’
I                                     I
             00    01    11      10                     00      01    11       10
     0       0     X     X       0          0           X        1     1       X
     1       1     X     X       1          1           X        0     0       X
March 28, 2006                                                                      6
Sequential Circuit Design
                         Layout Diagram

J1 = IQ0
K1 = Q0’
J0 = I
K0 = I’
Output = IQ1Q0’




  March 28, 2006                               7
Sequential Circuit Design – Moore State Machine

  Associate output with states only. This means that the
         output is also synchronous with the clock


                    x    Sequence
                                          w
                 clock   detector


            clock


             x


             w




March 28, 2006                                             8
Sequential Circuit Design
      Moore State Machine for the sequence detector 110

                         1                 1   1         0
           0


               A/0               B/0           C/0           D/1
                             0
                                               1

                                       0



                 clock


                     x


                     w

March 28, 2006   state           A     B       C     D       A     9
Sequential Circuit Design
       VHDL implementation for the Moore sequence detector 110
                               ARCHITECTURE seq_det_arch of seq_det is
                               BEGIN
ENTITY seq_det IS              process1: PROCESS(CLK)
  PORT (                       VARIABLE STATE : STD_LOGIC_VECTOR(1 DOWNTO 0):= "00";
     CLK     : IN STD_LOGIC;
                                 BEGIN
     X       : IN STD_LOGIC;
                                   IF (CLK = ‘1’) THEN
     W       : OUT STD_LOGIC            CASE STATE IS
  );                                      WHEN "00" =>                 -- State A
END seq_det;                                   IF (X = '0') THEN
                                                   STATE := "00";
                                                   W <= '0';
                                               ELSE
                                                   STATE := "01";
                                                   W <= '0';
                                               END IF;
                                           WHEN "01" =>                 -- State B
                                               IF (X = '1') THEN
                                                   STATE := "10";
                                                   W <= '0';
                                               ELSE
                                                   STATE := "00";
                                                   W <= '0';
                                               END IF;
   March 28, 2006                                                                    10
                                               Continued…
Sequential Circuit Design
 VHDL implementation for the Moore sequence detector 110


                          WHEN "10" =>            -- State C
                             IF (X = '1') THEN
                                STATE := "10";
                                W <= '0';
                             ELSE
                                STATE := "11";
                                W <= '1';
                             END IF;
                          WHEN OTHERS =>          -- State D
                             IF (X = '1') THEN
                                 STATE := "01";
                                 W <= '0';
                             ELSE
                                 STATE := "00";
                                 W <= '0';
                             END IF;
                      END CASE;
                   END IF;
                  END PROCESS;
                 END seq_det_arch;

March 28, 2006                                                 11
Sequential Circuit Design
 VHDL implementation for the Moore sequence detector 110

                 Simulation waveform




March 28, 2006                                             12

More Related Content

What's hot

Multiplexers and Demultiplexers
Multiplexers and DemultiplexersMultiplexers and Demultiplexers
Multiplexers and DemultiplexersGargiKhanna1
 
Asynchronous sequential circuit analysis
Asynchronous sequential circuit analysisAsynchronous sequential circuit analysis
Asynchronous sequential circuit analysisDr Naim R Kidwai
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilogJITU MISTRY
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemMostafa Khamis
 
Asynchronous Sequential Circuit-Unit 4 ppt
Asynchronous Sequential Circuit-Unit 4 pptAsynchronous Sequential Circuit-Unit 4 ppt
Asynchronous Sequential Circuit-Unit 4 pptSIVALAKSHMIPANNEERSE
 
synchronous state machine design
synchronous state machine designsynchronous state machine design
synchronous state machine designAdarsh Patel
 
Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesRicardo Castro
 
Logic synthesis using Verilog HDL
Logic synthesis using Verilog HDLLogic synthesis using Verilog HDL
Logic synthesis using Verilog HDLanand hd
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmosRajesh Tiwary
 
Carry look ahead adder
Carry look ahead adderCarry look ahead adder
Carry look ahead adderdragonpradeep
 
Divide by N clock
Divide by N clockDivide by N clock
Divide by N clockMantra VLSI
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor LogicDiwaker Pant
 

What's hot (20)

Multiplexers and Demultiplexers
Multiplexers and DemultiplexersMultiplexers and Demultiplexers
Multiplexers and Demultiplexers
 
Asynchronous sequential circuit analysis
Asynchronous sequential circuit analysisAsynchronous sequential circuit analysis
Asynchronous sequential circuit analysis
 
Delays in verilog
Delays in verilogDelays in verilog
Delays in verilog
 
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation SystemSynopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
Synopsys Fusion Compiler-Comprehensive RTL-to-GDSII Implementation System
 
Asynchronous Sequential Circuit-Unit 4 ppt
Asynchronous Sequential Circuit-Unit 4 pptAsynchronous Sequential Circuit-Unit 4 ppt
Asynchronous Sequential Circuit-Unit 4 ppt
 
Vlsi
VlsiVlsi
Vlsi
 
synchronous state machine design
synchronous state machine designsynchronous state machine design
synchronous state machine design
 
Experiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gatesExperiment write-vhdl-code-for-realize-all-logic-gates
Experiment write-vhdl-code-for-realize-all-logic-gates
 
Logic synthesis using Verilog HDL
Logic synthesis using Verilog HDLLogic synthesis using Verilog HDL
Logic synthesis using Verilog HDL
 
Power dissipation cmos
Power dissipation cmosPower dissipation cmos
Power dissipation cmos
 
PLDs
PLDsPLDs
PLDs
 
Carry look ahead adder
Carry look ahead adderCarry look ahead adder
Carry look ahead adder
 
Divide by N clock
Divide by N clockDivide by N clock
Divide by N clock
 
Actel fpga
Actel fpgaActel fpga
Actel fpga
 
Counters
CountersCounters
Counters
 
Pass Transistor Logic
Pass Transistor LogicPass Transistor Logic
Pass Transistor Logic
 
VHDL Behavioral Description
VHDL Behavioral DescriptionVHDL Behavioral Description
VHDL Behavioral Description
 
Lecture19
Lecture19Lecture19
Lecture19
 
Verilog hdl
Verilog hdlVerilog hdl
Verilog hdl
 
Shift Registers
Shift RegistersShift Registers
Shift Registers
 

Viewers also liked

Sequence detector Verilog Code
Sequence detector Verilog CodeSequence detector Verilog Code
Sequence detector Verilog CodeBharti Airtel Ltd.
 
Moore and mealy machines
Moore and mealy machinesMoore and mealy machines
Moore and mealy machineslavishka_anuj
 
Introduction state machine
Introduction state machineIntroduction state machine
Introduction state machineShreyans Pathak
 
Design & Check Cyclic Redundancy Code using VERILOG HDL
Design & Check Cyclic Redundancy Code using VERILOG HDLDesign & Check Cyclic Redundancy Code using VERILOG HDL
Design & Check Cyclic Redundancy Code using VERILOG HDLijsrd.com
 
Low power logic design
Low power logic designLow power logic design
Low power logic designDINESH KUMAR R
 
Siri Innovations Presentation
Siri Innovations PresentationSiri Innovations Presentation
Siri Innovations PresentationSiri Innovations
 
B sc cs i bo-de u-iv sequential circuit
B sc cs i bo-de u-iv sequential circuitB sc cs i bo-de u-iv sequential circuit
B sc cs i bo-de u-iv sequential circuitRai University
 
License plate recognition on fpga and matlab
License plate recognition on fpga and matlabLicense plate recognition on fpga and matlab
License plate recognition on fpga and matlabVan Loi Le
 
Synchronous design process
Synchronous design processSynchronous design process
Synchronous design processAbhilash Nair
 
compiler and their types
compiler and their typescompiler and their types
compiler and their typespatchamounika7
 
Sequential Circuits - Flip Flops (Part 1)
Sequential Circuits - Flip Flops (Part 1)Sequential Circuits - Flip Flops (Part 1)
Sequential Circuits - Flip Flops (Part 1)Abhilash Nair
 
Operating system introduction to operating system
Operating system   introduction to operating systemOperating system   introduction to operating system
Operating system introduction to operating systemjaydeesa17
 
Sequential circuit-Digital Electronics
Sequential circuit-Digital ElectronicsSequential circuit-Digital Electronics
Sequential circuit-Digital ElectronicsBipul Roy Bpl
 
Synchronous and asynchronous reset
Synchronous and asynchronous resetSynchronous and asynchronous reset
Synchronous and asynchronous resetNallapati Anindra
 
Basic structures in vhdl
Basic structures in vhdlBasic structures in vhdl
Basic structures in vhdlRaj Mohan
 
Ethertnet data transfer.ppt
Ethertnet data transfer.pptEthertnet data transfer.ppt
Ethertnet data transfer.pptMantra VLSI
 

Viewers also liked (20)

Session six
Session sixSession six
Session six
 
Sequence detector Verilog Code
Sequence detector Verilog CodeSequence detector Verilog Code
Sequence detector Verilog Code
 
Moore and mealy machines
Moore and mealy machinesMoore and mealy machines
Moore and mealy machines
 
Introduction state machine
Introduction state machineIntroduction state machine
Introduction state machine
 
Design & Check Cyclic Redundancy Code using VERILOG HDL
Design & Check Cyclic Redundancy Code using VERILOG HDLDesign & Check Cyclic Redundancy Code using VERILOG HDL
Design & Check Cyclic Redundancy Code using VERILOG HDL
 
Low power logic design
Low power logic designLow power logic design
Low power logic design
 
Siri Innovations Presentation
Siri Innovations PresentationSiri Innovations Presentation
Siri Innovations Presentation
 
Verilog code
Verilog codeVerilog code
Verilog code
 
B sc cs i bo-de u-iv sequential circuit
B sc cs i bo-de u-iv sequential circuitB sc cs i bo-de u-iv sequential circuit
B sc cs i bo-de u-iv sequential circuit
 
License plate recognition on fpga and matlab
License plate recognition on fpga and matlabLicense plate recognition on fpga and matlab
License plate recognition on fpga and matlab
 
Synchronous design process
Synchronous design processSynchronous design process
Synchronous design process
 
Siri
SiriSiri
Siri
 
compiler and their types
compiler and their typescompiler and their types
compiler and their types
 
Sequential Circuits - Flip Flops (Part 1)
Sequential Circuits - Flip Flops (Part 1)Sequential Circuits - Flip Flops (Part 1)
Sequential Circuits - Flip Flops (Part 1)
 
Operating system introduction to operating system
Operating system   introduction to operating systemOperating system   introduction to operating system
Operating system introduction to operating system
 
Sequential circuit-Digital Electronics
Sequential circuit-Digital ElectronicsSequential circuit-Digital Electronics
Sequential circuit-Digital Electronics
 
SIRI: Future of Search
SIRI: Future of SearchSIRI: Future of Search
SIRI: Future of Search
 
Synchronous and asynchronous reset
Synchronous and asynchronous resetSynchronous and asynchronous reset
Synchronous and asynchronous reset
 
Basic structures in vhdl
Basic structures in vhdlBasic structures in vhdl
Basic structures in vhdl
 
Ethertnet data transfer.ppt
Ethertnet data transfer.pptEthertnet data transfer.ppt
Ethertnet data transfer.ppt
 

Similar to Fsm sequence detector (20)

Lect21 Engin112
Lect21 Engin112Lect21 Engin112
Lect21 Engin112
 
Lec9
Lec9Lec9
Lec9
 
Designing Clocked Synchronous State Machine
Designing Clocked Synchronous State MachineDesigning Clocked Synchronous State Machine
Designing Clocked Synchronous State Machine
 
16%20 lecture
16%20 lecture16%20 lecture
16%20 lecture
 
Sequential Circuit
Sequential CircuitSequential Circuit
Sequential Circuit
 
Lec 25 26_27
Lec 25 26_27Lec 25 26_27
Lec 25 26_27
 
lec7.ppt
lec7.pptlec7.ppt
lec7.ppt
 
Lect23 Engin112
Lect23 Engin112Lect23 Engin112
Lect23 Engin112
 
Lec16 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Fi...
Lec16 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Fi...Lec16 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Fi...
Lec16 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Fi...
 
CArcMOOC 03.03 - Sequential circuits
CArcMOOC 03.03 - Sequential circuitsCArcMOOC 03.03 - Sequential circuits
CArcMOOC 03.03 - Sequential circuits
 
Sequential Logic
Sequential LogicSequential Logic
Sequential Logic
 
Chapter 3
Chapter 3Chapter 3
Chapter 3
 
Sequential logic implementation
Sequential logic implementationSequential logic implementation
Sequential logic implementation
 
www.ijerd.com
www.ijerd.comwww.ijerd.com
www.ijerd.com
 
95414579 flip-flop
95414579 flip-flop95414579 flip-flop
95414579 flip-flop
 
Quantum Cost Calculation of Reversible Circuit
Quantum Cost Calculation of Reversible CircuitQuantum Cost Calculation of Reversible Circuit
Quantum Cost Calculation of Reversible Circuit
 
Sequential circuits
Sequential circuitsSequential circuits
Sequential circuits
 
Components logic gates
Components   logic gatesComponents   logic gates
Components logic gates
 
Lect19 Engin112
Lect19 Engin112Lect19 Engin112
Lect19 Engin112
 
Flip flops
Flip flopsFlip flops
Flip flops
 

Recently uploaded

Hierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of managementHierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of managementmkooblal
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentInMediaRes1
 
ROOT CAUSE ANALYSIS PowerPoint Presentation
ROOT CAUSE ANALYSIS PowerPoint PresentationROOT CAUSE ANALYSIS PowerPoint Presentation
ROOT CAUSE ANALYSIS PowerPoint PresentationAadityaSharma884161
 
Planning a health career 4th Quarter.pptx
Planning a health career 4th Quarter.pptxPlanning a health career 4th Quarter.pptx
Planning a health career 4th Quarter.pptxLigayaBacuel1
 
AmericanHighSchoolsprezentacijaoskolama.
AmericanHighSchoolsprezentacijaoskolama.AmericanHighSchoolsprezentacijaoskolama.
AmericanHighSchoolsprezentacijaoskolama.arsicmarija21
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxOH TEIK BIN
 
Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17Celine George
 
Crayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon ACrayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon AUnboundStockton
 
EPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptxEPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptxRaymartEstabillo3
 
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdf
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdfLike-prefer-love -hate+verb+ing & silent letters & citizenship text.pdf
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdfMr Bounab Samir
 
Roles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in PharmacovigilanceRoles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in PharmacovigilanceSamikshaHamane
 
ENGLISH6-Q4-W3.pptxqurter our high choom
ENGLISH6-Q4-W3.pptxqurter our high choomENGLISH6-Q4-W3.pptxqurter our high choom
ENGLISH6-Q4-W3.pptxqurter our high choomnelietumpap1
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTiammrhaywood
 
HỌC TỐT TIẾNG ANH 11 THEO CHƯƠNG TRÌNH GLOBAL SUCCESS ĐÁP ÁN CHI TIẾT - CẢ NĂ...
HỌC TỐT TIẾNG ANH 11 THEO CHƯƠNG TRÌNH GLOBAL SUCCESS ĐÁP ÁN CHI TIẾT - CẢ NĂ...HỌC TỐT TIẾNG ANH 11 THEO CHƯƠNG TRÌNH GLOBAL SUCCESS ĐÁP ÁN CHI TIẾT - CẢ NĂ...
HỌC TỐT TIẾNG ANH 11 THEO CHƯƠNG TRÌNH GLOBAL SUCCESS ĐÁP ÁN CHI TIẾT - CẢ NĂ...Nguyen Thanh Tu Collection
 

Recently uploaded (20)

Hierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of managementHierarchy of management that covers different levels of management
Hierarchy of management that covers different levels of management
 
Alper Gobel In Media Res Media Component
Alper Gobel In Media Res Media ComponentAlper Gobel In Media Res Media Component
Alper Gobel In Media Res Media Component
 
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
 
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdfTataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
 
ROOT CAUSE ANALYSIS PowerPoint Presentation
ROOT CAUSE ANALYSIS PowerPoint PresentationROOT CAUSE ANALYSIS PowerPoint Presentation
ROOT CAUSE ANALYSIS PowerPoint Presentation
 
Planning a health career 4th Quarter.pptx
Planning a health career 4th Quarter.pptxPlanning a health career 4th Quarter.pptx
Planning a health career 4th Quarter.pptx
 
AmericanHighSchoolsprezentacijaoskolama.
AmericanHighSchoolsprezentacijaoskolama.AmericanHighSchoolsprezentacijaoskolama.
AmericanHighSchoolsprezentacijaoskolama.
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptx
 
9953330565 Low Rate Call Girls In Rohini Delhi NCR
9953330565 Low Rate Call Girls In Rohini  Delhi NCR9953330565 Low Rate Call Girls In Rohini  Delhi NCR
9953330565 Low Rate Call Girls In Rohini Delhi NCR
 
Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17Difference Between Search & Browse Methods in Odoo 17
Difference Between Search & Browse Methods in Odoo 17
 
Crayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon ACrayon Activity Handout For the Crayon A
Crayon Activity Handout For the Crayon A
 
Rapple "Scholarly Communications and the Sustainable Development Goals"
Rapple "Scholarly Communications and the Sustainable Development Goals"Rapple "Scholarly Communications and the Sustainable Development Goals"
Rapple "Scholarly Communications and the Sustainable Development Goals"
 
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Tilak Nagar Delhi reach out to us at 🔝9953056974🔝
 
EPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptxEPANDING THE CONTENT OF AN OUTLINE using notes.pptx
EPANDING THE CONTENT OF AN OUTLINE using notes.pptx
 
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdf
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdfLike-prefer-love -hate+verb+ing & silent letters & citizenship text.pdf
Like-prefer-love -hate+verb+ing & silent letters & citizenship text.pdf
 
Roles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in PharmacovigilanceRoles & Responsibilities in Pharmacovigilance
Roles & Responsibilities in Pharmacovigilance
 
ENGLISH6-Q4-W3.pptxqurter our high choom
ENGLISH6-Q4-W3.pptxqurter our high choomENGLISH6-Q4-W3.pptxqurter our high choom
ENGLISH6-Q4-W3.pptxqurter our high choom
 
OS-operating systems- ch04 (Threads) ...
OS-operating systems- ch04 (Threads) ...OS-operating systems- ch04 (Threads) ...
OS-operating systems- ch04 (Threads) ...
 
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPTECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
ECONOMIC CONTEXT - LONG FORM TV DRAMA - PPT
 
HỌC TỐT TIẾNG ANH 11 THEO CHƯƠNG TRÌNH GLOBAL SUCCESS ĐÁP ÁN CHI TIẾT - CẢ NĂ...
HỌC TỐT TIẾNG ANH 11 THEO CHƯƠNG TRÌNH GLOBAL SUCCESS ĐÁP ÁN CHI TIẾT - CẢ NĂ...HỌC TỐT TIẾNG ANH 11 THEO CHƯƠNG TRÌNH GLOBAL SUCCESS ĐÁP ÁN CHI TIẾT - CẢ NĂ...
HỌC TỐT TIẾNG ANH 11 THEO CHƯƠNG TRÌNH GLOBAL SUCCESS ĐÁP ÁN CHI TIẾT - CẢ NĂ...
 

Fsm sequence detector

  • 1. Sequential Circuits x w Combinational v0 Storage v0+ v1 elements clock v1+ Huffman Model A combinational circuit and storage elements are interconnected to form a sequencial circuit. The information stored at any time defines the state of the circuit at that time. The next state of the storage elements is a function of the inputs and the present state. Synchronous sequential circuit can be defined from the knowledge of its signals at discrete instants. March 28, 2006 1
  • 2. Sequential Circuit Design 1- Obtain either the state diagram or state table from the problem specs. 2- If we don’t have one already, obtain the state table from the state diagram. 3- Assign binary codes to the states. 4- Derive the FF input equations from the next state entries of the state table. 5- Derive the output equations from the output entries of the state table. March 28, 2006 2
  • 3. Sequential Circuit Design Design a sequence detector for the string “1101”. The output must be ‘1’ when the input matches this string x Sequence w clock detector March 28, 2006 3
  • 4. Sequential Circuit Design Mealy state machine (remember that in this state machine the output is dependent on input changes and states) 1/0 1/0 1/0 0/0 0/0 A B C D 0/0 1/1 0/0 Assign binary values to each state. Example: A = 00, B = 01, C = 11, D = 10 March 28, 2006 4
  • 5. Sequential Circuit Design Make Table FROM present state & input TO next state & output, and FF inputs. J K Q(t+1) Operation 0 0 Q(t) No change 0 1 0 Reset 1 0 1 Set 1 1 Q’(t) Complement A B C D March 28, 2006 5
  • 6. Sequential Circuit Design K-maps of the states & the outputs Q1 Q0 Output = IQ1Q0’ I 00 01 11 10 0 0 0 0 0 1 0 0 0 1 Q1 Q0 J1 = IQ0 Q1 Q0 K1 = Q0’ I I 00 01 11 10 00 01 11 10 0 0 0 X X 0 X X 0 1 1 0 1 X X 1 X X 0 1 Q1 Q0 J0 = I Q1 Q0 K0 = I’ I I 00 01 11 10 00 01 11 10 0 0 X X 0 0 X 1 1 X 1 1 X X 1 1 X 0 0 X March 28, 2006 6
  • 7. Sequential Circuit Design Layout Diagram J1 = IQ0 K1 = Q0’ J0 = I K0 = I’ Output = IQ1Q0’ March 28, 2006 7
  • 8. Sequential Circuit Design – Moore State Machine Associate output with states only. This means that the output is also synchronous with the clock x Sequence w clock detector clock x w March 28, 2006 8
  • 9. Sequential Circuit Design Moore State Machine for the sequence detector 110 1 1 1 0 0 A/0 B/0 C/0 D/1 0 1 0 clock x w March 28, 2006 state A B C D A 9
  • 10. Sequential Circuit Design VHDL implementation for the Moore sequence detector 110 ARCHITECTURE seq_det_arch of seq_det is BEGIN ENTITY seq_det IS process1: PROCESS(CLK) PORT ( VARIABLE STATE : STD_LOGIC_VECTOR(1 DOWNTO 0):= "00"; CLK : IN STD_LOGIC; BEGIN X : IN STD_LOGIC; IF (CLK = ‘1’) THEN W : OUT STD_LOGIC CASE STATE IS ); WHEN "00" => -- State A END seq_det; IF (X = '0') THEN STATE := "00"; W <= '0'; ELSE STATE := "01"; W <= '0'; END IF; WHEN "01" => -- State B IF (X = '1') THEN STATE := "10"; W <= '0'; ELSE STATE := "00"; W <= '0'; END IF; March 28, 2006 10 Continued…
  • 11. Sequential Circuit Design VHDL implementation for the Moore sequence detector 110 WHEN "10" => -- State C IF (X = '1') THEN STATE := "10"; W <= '0'; ELSE STATE := "11"; W <= '1'; END IF; WHEN OTHERS => -- State D IF (X = '1') THEN STATE := "01"; W <= '0'; ELSE STATE := "00"; W <= '0'; END IF; END CASE; END IF; END PROCESS; END seq_det_arch; March 28, 2006 11
  • 12. Sequential Circuit Design VHDL implementation for the Moore sequence detector 110 Simulation waveform March 28, 2006 12