SlideShare a Scribd company logo
FINFET
INTRODUCTION TO FINFET
• The term “FINFET” describes a nonplanar, double gate transistor built on an SOI
substrate, based on the single gate transistor
design.
• The important characteristics of FINFET is that
the conducting channel is wrapped by a thin Si
“fin”, which forms the body of the device.
• The thickness of the fin determines the
effective channel length of the device.
HISTORY OF FINFET
• FINFET is a transistor design first developed by
Chenming Hu and his colleagues at the
University of California at Berkeley, which tries
to overcome the worst types of SCE(Short
Channel Effect).
• Originally, FINFET was developed for use on
Silicon-On-Insulator(SOI).
• SOI FINFET with thick oxide on top of fin are
called “Double-Gate” and those with thin
oxide on top as well as on sides are called
“Triple-Gate” FINFETs
REASON FOR EVOLUTION OF
FINFET
• For the double gate SOI MOSFETs, the gates
control the energy barrier b/w source and
drain effectively.
• Therefore, the Short Channel Effect(SCE) can
be suppressed without increasing the
channel impurity concentration.
GENERAL LAYOUT & MODE OF
OPERATION
• The basic electrical layout and mode of
operation of a FINFET does not differ from a
traditional FET.
• There is one source and one drain contact as
well as a gate to control the current flow.
• In contrast to planar MOSFET, the channel b/w
source and drain is build as 3D bar on top of
the Si substrate and are called fin.
CONTINUED………

The gate electrode is then wrapped around the channel, so that
there can be formed several gate electrodes on each side which
leads to the reduction in the leakage currents and an enhanced
drive current.
“FINS”
• The fin is used to form the raised channel.
• As the channel is very thin the gate has a great
control over carriers within it, but, when the
device is switched on, the shape limits the
current through it to a low level.
• The thickness of the fin (measured in the
direction from source to drain) determines the
effective length of the device.
FABRICATION OF FINFET
• The heart of the FINFET is a thin Si fin, which
serves as a body of the MOSFET.
• A heavily doped poly Si film wraps around the
fin and makes the electrical contact to the
vertical faces of the fin.
• A gap is etched through the poly Si film to
separate the source and drain.
The various steps in the fabrication of FINFETs
are discussed as follows.
CHEMICAL VAPOUR DEPOSITION(CVD)
• SiN and SiO layers are deposited on Si film to
make a hard mask or a cover layer.
• The cover layer will protect the Si fin
throughout the fabrication process.
• Then, a layer of SiO2 is developed by the
process of dry etching.
• The layer of SiO2 is used to relieve the stress.
ELECTRON BEAM LITHOGRAPHY
• The fine Si fin is patterned by EB Lithography
with 100keV acceleration energy.
• The resist pattern is slightly ashed at 5W and
30 sec to reduce the Si fin width.
• Then using top SiO layer as a hard etching
mask, the SiO layer is etched.
• By this process, the silicon fin is patterned.
NEXT PROCESSES
• A thin layer of sacrificial layer of SiO2 is grown.
• Then, the sacrificial oxide is stripped completely
to remove etch damage.
• While the cover layer protects the Si fin, the
amorphous Si is completely removed from the
side of the Si fin.
• The amorphous Si is in contact with the Si fin at
its side surfaces becomes the impurity diffusion
source that forms the transistor source and drain.
OXIDATION
• The gate oxidation should thin the Si fin width
slightly.
• By oxidizing the Si surface, gate oxide as thin
as 2.5nm is grown.
• Because the area of Si fin inside the surface is
too small, we use dummy wafers to measure
the oxide thickness.
• Hence the gate oxide is grown.
FORMATION OF POLY-Si GATE
• The boron doped Si is deposited at 475`C as
the gate material.
• Because the source and drain extension is
already formed and covered by thick SiO
layer, no high temperature steps are required
after the gate deposition.
• The total parasitic resistance due to probing is
about 3000.
HOW TO REDUCE COMPLEXITY OF
FABRICATION???
– Due to the complexity of fabrication process, the
FINFET design was proposed to have a delta
structure, so that after the reduction of vertical
feature height, the gate channel-Gate stacked
structure is realized by a Quasi-Planar technology.
EVALUATION OF FINFET

• Current performance is poor.

• Conducted only in high voltages
REASON FOR POOR PERFORMANCE:
• Large bits and holes in the Si fin and the
source drain areas.
• In fabrication, photo resist alone is not a
sufficient task.
PARASITIC CAPACITANCE
• It is also known as stray capacitance.
• In electrical circuits, Parasitic capacitance is an
unavoidable and usually wanted capacitance
that exists b/w parts of an electronic
component or circuit simply because of their
proximity (relationship) to each other.
• Circuit elements such as inductors, diodes and
transistors have internal capacitance and
derivate from the circuit elements.
HOW TO AVOID PARASITIC
CAPACITANCE
• Additional process steps are required to
induce impurities (appropriate type) below
the fin to provide a Punch-Through
Stop(PTS), ensuring there is no direct current
path b/w gate and source and are electrically
controlled by gate input.
SHORT CHANNEL EFFECT
• It is an effect whereby a MOSFET in which the
channel length is the same order of
magnitude as the depletion layer widths of
source & drain junctions, behaves differently
from the other MOSFETs.
• As the channel length ‘l’ is reduced to increase
both the operation speed and the number of
components per chip, the so called SCE
occurs.
ATTRIBUTES OF THE SHORT CHANNEL
EFFECT
1. Limitation imposed on the electron drift
characteristics in the channel.
2. Modification of threshold voltage (Short
Channel Effect(SCE))
Effective channel width
(W)=(Tfin+(2*Hfin))

Effective channel length
(Leff)=(Lgate+(2*Lext))
ADVANTAGES OF FINFET
• Higher technological maturity than planar DG.
• Suppressed Short Channel Effect(SCE)
• Better in driving current
• More compact
• Low cost
DISADVANTAGES OF FINFET
• Reduced mobility for electrons
• Higher source and drain resistances
• Poor reliability
LATEST UPDATES ABOUT FINFET
• In the New York Times, On may 4 2011, it was
published that INTEL will use FINFET for about
22nm.
• According to various sources, INTEL’s FINFET
shape has an unusual shape of a triangle
rather than rectangle because triangle has a
high structural strength, higher area to volume
ratio thus increasing the switching
performance.
CONCLUSION
The following key features are
experimentally verified.
• The self aligned double gate effectively
suppresses Short Channel Effect even in 17nm
gate length.
• Gate is self-aligned, which is raised to reduce
the parasitic capacitance.

More Related Content

What's hot

MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETMOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETA. S. M. Jannatul Islam
 
Fully depleted silicon insulator
Fully depleted silicon insulatorFully depleted silicon insulator
Fully depleted silicon insulator
sandeep sandy
 
finfet tsmc.pdf
finfet tsmc.pdffinfet tsmc.pdf
finfet tsmc.pdf
MANSISHEKHAWAT3
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integration
kriticka sharma
 
GAA nano wire FET
GAA nano wire FETGAA nano wire FET
GAA nano wire FET
Jaidev Kaushik
 
High k dielectrics
High k dielectricsHigh k dielectrics
High k dielectricsSubash John
 
Matching concept in Microelectronics
Matching concept in MicroelectronicsMatching concept in Microelectronics
Matching concept in Microelectronics
Tallinn University of Technology
 
Junctionless transistors
Junctionless transistorsJunctionless transistors
Junctionless transistors
Pratishtha Agnihotri
 
Short channel effects
Short channel effectsShort channel effects
Short channel effects
aditiagrawal97
 
Double gate mosfet
Double gate mosfetDouble gate mosfet
Double gate mosfet
Pooja Shukla
 
CMOS VLSI design
CMOS VLSI designCMOS VLSI design
CMOS VLSI designRajan Kumar
 
SILICON ON INSULATOR
SILICON ON INSULATORSILICON ON INSULATOR
SILICON ON INSULATOR
ManishKushwaha60
 
Review of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design ChallengesReview of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design Challengesrbl87
 
Mosfet short channel effects
Mosfet short channel effectsMosfet short channel effects
Mosfet short channel effects
kesana Bala Gopi
 
Device isolation Techniques
Device isolation TechniquesDevice isolation Techniques
Device isolation Techniques
Sudhanshu Janwadkar
 
Double patterning for 32nm and beyond
Double patterning for 32nm and beyondDouble patterning for 32nm and beyond
Double patterning for 32nm and beyond
Manikandan Sampathkumar
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
slpinjare
 

What's hot (20)

MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUETMOSFET, SOI-FET and FIN-FET-ABU SYED KUET
MOSFET, SOI-FET and FIN-FET-ABU SYED KUET
 
Fully depleted silicon insulator
Fully depleted silicon insulatorFully depleted silicon insulator
Fully depleted silicon insulator
 
finfet tsmc.pdf
finfet tsmc.pdffinfet tsmc.pdf
finfet tsmc.pdf
 
Ic tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process IntegrationIc tech unit 5- VLSI Process Integration
Ic tech unit 5- VLSI Process Integration
 
GAA nano wire FET
GAA nano wire FETGAA nano wire FET
GAA nano wire FET
 
High k dielectrics
High k dielectricsHigh k dielectrics
High k dielectrics
 
Matching concept in Microelectronics
Matching concept in MicroelectronicsMatching concept in Microelectronics
Matching concept in Microelectronics
 
Junctionless transistors
Junctionless transistorsJunctionless transistors
Junctionless transistors
 
Short channel effects
Short channel effectsShort channel effects
Short channel effects
 
Double gate mosfet
Double gate mosfetDouble gate mosfet
Double gate mosfet
 
CMOS VLSI design
CMOS VLSI designCMOS VLSI design
CMOS VLSI design
 
SILICON ON INSULATOR
SILICON ON INSULATORSILICON ON INSULATOR
SILICON ON INSULATOR
 
BGR
BGRBGR
BGR
 
Review of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design ChallengesReview of Fin FET Technology and Circuit Design Challenges
Review of Fin FET Technology and Circuit Design Challenges
 
Mosfet short channel effects
Mosfet short channel effectsMosfet short channel effects
Mosfet short channel effects
 
Device isolation Techniques
Device isolation TechniquesDevice isolation Techniques
Device isolation Techniques
 
Analog vlsi
Analog vlsiAnalog vlsi
Analog vlsi
 
SOI
SOISOI
SOI
 
Double patterning for 32nm and beyond
Double patterning for 32nm and beyondDouble patterning for 32nm and beyond
Double patterning for 32nm and beyond
 
Analog Layout design
Analog Layout design Analog Layout design
Analog Layout design
 

Similar to Finfet; My 3rd PPT in clg

Advanced mosfet architectures
Advanced mosfet architecturesAdvanced mosfet architectures
Advanced mosfet architectures
Denita Tom
 
Rosh ppt
Rosh pptRosh ppt
Rosh ppt
Rosh Mani
 
Special semiconductor devices
Special semiconductor devicesSpecial semiconductor devices
Special semiconductor devices
RAMPRAKASHT1
 
Module-1.pptx
Module-1.pptxModule-1.pptx
Module-1.pptx
8885684828
 
Metal Oxide Semiconductor Fet (Mosfet)
Metal Oxide Semiconductor Fet (Mosfet)Metal Oxide Semiconductor Fet (Mosfet)
Metal Oxide Semiconductor Fet (Mosfet)stooty s
 
Semiconductor-based transistors (1).pptx
Semiconductor-based transistors (1).pptxSemiconductor-based transistors (1).pptx
Semiconductor-based transistors (1).pptx
HasanHasani18
 
Metal oxide-semiconductorfetmosfet-090615015822-phpapp02
Metal oxide-semiconductorfetmosfet-090615015822-phpapp02Metal oxide-semiconductorfetmosfet-090615015822-phpapp02
Metal oxide-semiconductorfetmosfet-090615015822-phpapp02zambaredn
 
IC fabrication and its types with real life applications.pptx
IC fabrication and its types with real life applications.pptxIC fabrication and its types with real life applications.pptx
IC fabrication and its types with real life applications.pptx
Nishanth Asmi
 
Mosfet ppt by dhwani sametriya
Mosfet ppt by dhwani sametriyaMosfet ppt by dhwani sametriya
Mosfet ppt by dhwani sametriya
Dhwani Sametriya
 
My VLSI.pptx
My VLSI.pptxMy VLSI.pptx
My VLSI.pptx
chiranjeevimuppala2
 
Fabrication steps of IC
Fabrication steps of ICFabrication steps of IC
Fabrication steps of IC
Gowri Kishore
 
99997340.pdf
99997340.pdf99997340.pdf
99997340.pdf
RaunakKumar33449
 
Chapter2 VLSI.pptx
Chapter2 VLSI.pptxChapter2 VLSI.pptx
Chapter2 VLSI.pptx
AshishSoni509
 
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
Usha Mehta
 
15544557.ppt
15544557.ppt15544557.ppt
15544557.ppt
SomnathPal64
 
VLSI process integration
VLSI process integrationVLSI process integration
VLSI process integration
neha sharma
 
Bjt
BjtBjt
18EC655_Module-1.pptx
18EC655_Module-1.pptx18EC655_Module-1.pptx
18EC655_Module-1.pptx
JazzSameer
 
Monolithic implementation of parasitic elements
Monolithic implementation of parasitic elementsMonolithic implementation of parasitic elements
Monolithic implementation of parasitic elements
GOPICHAND NAGUBOINA
 
Flipchip bonding.
Flipchip bonding.Flipchip bonding.
Flipchip bonding.
venkata016
 

Similar to Finfet; My 3rd PPT in clg (20)

Advanced mosfet architectures
Advanced mosfet architecturesAdvanced mosfet architectures
Advanced mosfet architectures
 
Rosh ppt
Rosh pptRosh ppt
Rosh ppt
 
Special semiconductor devices
Special semiconductor devicesSpecial semiconductor devices
Special semiconductor devices
 
Module-1.pptx
Module-1.pptxModule-1.pptx
Module-1.pptx
 
Metal Oxide Semiconductor Fet (Mosfet)
Metal Oxide Semiconductor Fet (Mosfet)Metal Oxide Semiconductor Fet (Mosfet)
Metal Oxide Semiconductor Fet (Mosfet)
 
Semiconductor-based transistors (1).pptx
Semiconductor-based transistors (1).pptxSemiconductor-based transistors (1).pptx
Semiconductor-based transistors (1).pptx
 
Metal oxide-semiconductorfetmosfet-090615015822-phpapp02
Metal oxide-semiconductorfetmosfet-090615015822-phpapp02Metal oxide-semiconductorfetmosfet-090615015822-phpapp02
Metal oxide-semiconductorfetmosfet-090615015822-phpapp02
 
IC fabrication and its types with real life applications.pptx
IC fabrication and its types with real life applications.pptxIC fabrication and its types with real life applications.pptx
IC fabrication and its types with real life applications.pptx
 
Mosfet ppt by dhwani sametriya
Mosfet ppt by dhwani sametriyaMosfet ppt by dhwani sametriya
Mosfet ppt by dhwani sametriya
 
My VLSI.pptx
My VLSI.pptxMy VLSI.pptx
My VLSI.pptx
 
Fabrication steps of IC
Fabrication steps of ICFabrication steps of IC
Fabrication steps of IC
 
99997340.pdf
99997340.pdf99997340.pdf
99997340.pdf
 
Chapter2 VLSI.pptx
Chapter2 VLSI.pptxChapter2 VLSI.pptx
Chapter2 VLSI.pptx
 
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
3_DVD_IC_Fabrication_Flow_designer_perspective.pdf
 
15544557.ppt
15544557.ppt15544557.ppt
15544557.ppt
 
VLSI process integration
VLSI process integrationVLSI process integration
VLSI process integration
 
Bjt
BjtBjt
Bjt
 
18EC655_Module-1.pptx
18EC655_Module-1.pptx18EC655_Module-1.pptx
18EC655_Module-1.pptx
 
Monolithic implementation of parasitic elements
Monolithic implementation of parasitic elementsMonolithic implementation of parasitic elements
Monolithic implementation of parasitic elements
 
Flipchip bonding.
Flipchip bonding.Flipchip bonding.
Flipchip bonding.
 

Recently uploaded

Securing your Kubernetes cluster_ a step-by-step guide to success !
Securing your Kubernetes cluster_ a step-by-step guide to success !Securing your Kubernetes cluster_ a step-by-step guide to success !
Securing your Kubernetes cluster_ a step-by-step guide to success !
KatiaHIMEUR1
 
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Jeffrey Haguewood
 
The Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and SalesThe Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and Sales
Laura Byrne
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Product School
 
Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*
Frank van Harmelen
 
Leading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdfLeading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdf
OnBoard
 
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 previewState of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
Prayukth K V
 
JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
RTTS
 
Epistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI supportEpistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI support
Alan Dix
 
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdfFIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance
 
The Future of Platform Engineering
The Future of Platform EngineeringThe Future of Platform Engineering
The Future of Platform Engineering
Jemma Hussein Allen
 
Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........
Alison B. Lowndes
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
Cheryl Hung
 
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Product School
 
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
Product School
 
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Tobias Schneck
 
UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3
DianaGray10
 
Accelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish CachingAccelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish Caching
Thijs Feryn
 
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
Sri Ambati
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance
 

Recently uploaded (20)

Securing your Kubernetes cluster_ a step-by-step guide to success !
Securing your Kubernetes cluster_ a step-by-step guide to success !Securing your Kubernetes cluster_ a step-by-step guide to success !
Securing your Kubernetes cluster_ a step-by-step guide to success !
 
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
Slack (or Teams) Automation for Bonterra Impact Management (fka Social Soluti...
 
The Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and SalesThe Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and Sales
 
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
Unsubscribed: Combat Subscription Fatigue With a Membership Mentality by Head...
 
Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*
 
Leading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdfLeading Change strategies and insights for effective change management pdf 1.pdf
Leading Change strategies and insights for effective change management pdf 1.pdf
 
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 previewState of ICS and IoT Cyber Threat Landscape Report 2024 preview
State of ICS and IoT Cyber Threat Landscape Report 2024 preview
 
JMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and GrafanaJMeter webinar - integration with InfluxDB and Grafana
JMeter webinar - integration with InfluxDB and Grafana
 
Epistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI supportEpistemic Interaction - tuning interfaces to provide information for AI support
Epistemic Interaction - tuning interfaces to provide information for AI support
 
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdfFIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
FIDO Alliance Osaka Seminar: Passkeys and the Road Ahead.pdf
 
The Future of Platform Engineering
The Future of Platform EngineeringThe Future of Platform Engineering
The Future of Platform Engineering
 
Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........
 
Key Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdfKey Trends Shaping the Future of Infrastructure.pdf
Key Trends Shaping the Future of Infrastructure.pdf
 
Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...Designing Great Products: The Power of Design and Leadership by Chief Designe...
Designing Great Products: The Power of Design and Leadership by Chief Designe...
 
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
From Siloed Products to Connected Ecosystem: Building a Sustainable and Scala...
 
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
Kubernetes & AI - Beauty and the Beast !?! @KCD Istanbul 2024
 
UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3UiPath Test Automation using UiPath Test Suite series, part 3
UiPath Test Automation using UiPath Test Suite series, part 3
 
Accelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish CachingAccelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish Caching
 
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
 
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
 

Finfet; My 3rd PPT in clg

  • 2. INTRODUCTION TO FINFET • The term “FINFET” describes a nonplanar, double gate transistor built on an SOI substrate, based on the single gate transistor design. • The important characteristics of FINFET is that the conducting channel is wrapped by a thin Si “fin”, which forms the body of the device. • The thickness of the fin determines the effective channel length of the device.
  • 3. HISTORY OF FINFET • FINFET is a transistor design first developed by Chenming Hu and his colleagues at the University of California at Berkeley, which tries to overcome the worst types of SCE(Short Channel Effect). • Originally, FINFET was developed for use on Silicon-On-Insulator(SOI). • SOI FINFET with thick oxide on top of fin are called “Double-Gate” and those with thin oxide on top as well as on sides are called “Triple-Gate” FINFETs
  • 4. REASON FOR EVOLUTION OF FINFET • For the double gate SOI MOSFETs, the gates control the energy barrier b/w source and drain effectively. • Therefore, the Short Channel Effect(SCE) can be suppressed without increasing the channel impurity concentration.
  • 5. GENERAL LAYOUT & MODE OF OPERATION • The basic electrical layout and mode of operation of a FINFET does not differ from a traditional FET. • There is one source and one drain contact as well as a gate to control the current flow. • In contrast to planar MOSFET, the channel b/w source and drain is build as 3D bar on top of the Si substrate and are called fin.
  • 6. CONTINUED……… The gate electrode is then wrapped around the channel, so that there can be formed several gate electrodes on each side which leads to the reduction in the leakage currents and an enhanced drive current.
  • 7. “FINS” • The fin is used to form the raised channel. • As the channel is very thin the gate has a great control over carriers within it, but, when the device is switched on, the shape limits the current through it to a low level. • The thickness of the fin (measured in the direction from source to drain) determines the effective length of the device.
  • 8. FABRICATION OF FINFET • The heart of the FINFET is a thin Si fin, which serves as a body of the MOSFET. • A heavily doped poly Si film wraps around the fin and makes the electrical contact to the vertical faces of the fin. • A gap is etched through the poly Si film to separate the source and drain. The various steps in the fabrication of FINFETs are discussed as follows.
  • 9. CHEMICAL VAPOUR DEPOSITION(CVD) • SiN and SiO layers are deposited on Si film to make a hard mask or a cover layer. • The cover layer will protect the Si fin throughout the fabrication process. • Then, a layer of SiO2 is developed by the process of dry etching. • The layer of SiO2 is used to relieve the stress.
  • 10. ELECTRON BEAM LITHOGRAPHY • The fine Si fin is patterned by EB Lithography with 100keV acceleration energy. • The resist pattern is slightly ashed at 5W and 30 sec to reduce the Si fin width. • Then using top SiO layer as a hard etching mask, the SiO layer is etched. • By this process, the silicon fin is patterned.
  • 11. NEXT PROCESSES • A thin layer of sacrificial layer of SiO2 is grown. • Then, the sacrificial oxide is stripped completely to remove etch damage. • While the cover layer protects the Si fin, the amorphous Si is completely removed from the side of the Si fin. • The amorphous Si is in contact with the Si fin at its side surfaces becomes the impurity diffusion source that forms the transistor source and drain.
  • 12. OXIDATION • The gate oxidation should thin the Si fin width slightly. • By oxidizing the Si surface, gate oxide as thin as 2.5nm is grown. • Because the area of Si fin inside the surface is too small, we use dummy wafers to measure the oxide thickness. • Hence the gate oxide is grown.
  • 13. FORMATION OF POLY-Si GATE • The boron doped Si is deposited at 475`C as the gate material. • Because the source and drain extension is already formed and covered by thick SiO layer, no high temperature steps are required after the gate deposition. • The total parasitic resistance due to probing is about 3000.
  • 14. HOW TO REDUCE COMPLEXITY OF FABRICATION??? – Due to the complexity of fabrication process, the FINFET design was proposed to have a delta structure, so that after the reduction of vertical feature height, the gate channel-Gate stacked structure is realized by a Quasi-Planar technology.
  • 15. EVALUATION OF FINFET • Current performance is poor. • Conducted only in high voltages
  • 16. REASON FOR POOR PERFORMANCE: • Large bits and holes in the Si fin and the source drain areas. • In fabrication, photo resist alone is not a sufficient task.
  • 17. PARASITIC CAPACITANCE • It is also known as stray capacitance. • In electrical circuits, Parasitic capacitance is an unavoidable and usually wanted capacitance that exists b/w parts of an electronic component or circuit simply because of their proximity (relationship) to each other. • Circuit elements such as inductors, diodes and transistors have internal capacitance and derivate from the circuit elements.
  • 18. HOW TO AVOID PARASITIC CAPACITANCE • Additional process steps are required to induce impurities (appropriate type) below the fin to provide a Punch-Through Stop(PTS), ensuring there is no direct current path b/w gate and source and are electrically controlled by gate input.
  • 19. SHORT CHANNEL EFFECT • It is an effect whereby a MOSFET in which the channel length is the same order of magnitude as the depletion layer widths of source & drain junctions, behaves differently from the other MOSFETs. • As the channel length ‘l’ is reduced to increase both the operation speed and the number of components per chip, the so called SCE occurs.
  • 20. ATTRIBUTES OF THE SHORT CHANNEL EFFECT 1. Limitation imposed on the electron drift characteristics in the channel. 2. Modification of threshold voltage (Short Channel Effect(SCE))
  • 21. Effective channel width (W)=(Tfin+(2*Hfin)) Effective channel length (Leff)=(Lgate+(2*Lext))
  • 22. ADVANTAGES OF FINFET • Higher technological maturity than planar DG. • Suppressed Short Channel Effect(SCE) • Better in driving current • More compact • Low cost
  • 23. DISADVANTAGES OF FINFET • Reduced mobility for electrons • Higher source and drain resistances • Poor reliability
  • 24. LATEST UPDATES ABOUT FINFET • In the New York Times, On may 4 2011, it was published that INTEL will use FINFET for about 22nm. • According to various sources, INTEL’s FINFET shape has an unusual shape of a triangle rather than rectangle because triangle has a high structural strength, higher area to volume ratio thus increasing the switching performance.
  • 25. CONCLUSION The following key features are experimentally verified. • The self aligned double gate effectively suppresses Short Channel Effect even in 17nm gate length. • Gate is self-aligned, which is raised to reduce the parasitic capacitance.