SlideShare a Scribd company logo
Design verilog code [in ISE Project Navigator: Verilog Module, Verilog Text Fixture_TB] for:
4-bits synchronous up/down counter with an enable. This counter has three inputs Clock,
ENABLE, and UP/DOWN’ and four outputs O3 (MSB),O2,O1, and O0 (LSB). The table below
defines the circuit behavior: ENABLE UP/DOWN' Function 0 X Stop counting 0 Count down:
15,14,...,1,0,15,14, Count up: 0,1,...,14,15,0,1
Solution
What is the verilog code for 4-bit updown counter with synchronous clear?
2 ANSWERS
[Sanket Naik]
Sanket Naik, been there, done that...!
1.7k Views
module up_dn_cnt (
input clk,
input clr, //Active high clear
input up, //Active high up count enable
input dn, //Active down up count enable
output [3:0] count
);
always @(posedge clk, posedge clr) begin
if(clr == 1) begin
count <= 'b0;
end else begin
if(up == 1) begin
count <= count + 1;
end else if(dn == 1) begin
count <= count - 1;
end
end
end
endmodule

More Related Content

Similar to Design verilog code [in ISE Project Navigator Verilog Module, Veril.pdf

Project on digital vlsi design
Project on digital vlsi designProject on digital vlsi design
Project on digital vlsi design
DINESH DEVIREDDY
 
Plc Siemens Training Notes
Plc Siemens Training NotesPlc Siemens Training Notes
Plc Siemens Training Notes
plc_course
 
Controller Implementation in Verilog
Controller Implementation in VerilogController Implementation in Verilog
Controller Implementation in Verilog
Anees Akhtar
 
Lab manual mp
Lab manual mpLab manual mp
Lab manual mp
HarshitParkar6677
 
Instruction types
Instruction typesInstruction types
Instruction types
JyotiprakashMishra18
 
Computer arithmetics coa project pdf version
Computer arithmetics coa project pdf versionComputer arithmetics coa project pdf version
Computer arithmetics coa project pdf version
SuryaKumarSahani
 
Register counters.readonly
Register counters.readonlyRegister counters.readonly
Register counters.readonly
VARSHAKUMARI49
 
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Shinya Takamaeda-Y
 
MSCD650 Final Exam feedback FormMSCD650 Final Exam Grading For.docx
MSCD650 Final Exam feedback FormMSCD650 Final Exam Grading For.docxMSCD650 Final Exam feedback FormMSCD650 Final Exam Grading For.docx
MSCD650 Final Exam feedback FormMSCD650 Final Exam Grading For.docx
gilpinleeanna
 
H64CSA_1B_023799_Osama
H64CSA_1B_023799_OsamaH64CSA_1B_023799_Osama
H64CSA_1B_023799_OsamaOsama Azim
 
ME LAB1.docx
ME LAB1.docxME LAB1.docx
ME LAB1.docx
Keshvan Dhanapal
 
Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014
Béo Tú
 
Ch2
Ch2Ch2
Digital logic and microprocessors
Digital logic and microprocessorsDigital logic and microprocessors
Digital logic and microprocessors
Milind Pelagade
 
Verilog Tasks & Functions
Verilog Tasks & FunctionsVerilog Tasks & Functions
Verilog Tasks & Functions
anand hd
 
floating point multiplier
floating point multiplierfloating point multiplier
floating point multiplierBipin Likhar
 
VLSI lab manual
VLSI lab manualVLSI lab manual
VLSI lab manual
VaniPrasad11
 
Admission in india 2015
Admission in india 2015Admission in india 2015
Admission in india 2015
Edhole.com
 
Ch5_MorrisMano.pptx
Ch5_MorrisMano.pptxCh5_MorrisMano.pptx
Ch5_MorrisMano.pptx
SangeetaTripathi8
 

Similar to Design verilog code [in ISE Project Navigator Verilog Module, Veril.pdf (20)

Project on digital vlsi design
Project on digital vlsi designProject on digital vlsi design
Project on digital vlsi design
 
Plc Siemens Training Notes
Plc Siemens Training NotesPlc Siemens Training Notes
Plc Siemens Training Notes
 
Al2ed chapter7
Al2ed chapter7Al2ed chapter7
Al2ed chapter7
 
Controller Implementation in Verilog
Controller Implementation in VerilogController Implementation in Verilog
Controller Implementation in Verilog
 
Lab manual mp
Lab manual mpLab manual mp
Lab manual mp
 
Instruction types
Instruction typesInstruction types
Instruction types
 
Computer arithmetics coa project pdf version
Computer arithmetics coa project pdf versionComputer arithmetics coa project pdf version
Computer arithmetics coa project pdf version
 
Register counters.readonly
Register counters.readonlyRegister counters.readonly
Register counters.readonly
 
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
Pythonによるカスタム可能な高位設計技術 (Design Solution Forum 2016@新横浜)
 
MSCD650 Final Exam feedback FormMSCD650 Final Exam Grading For.docx
MSCD650 Final Exam feedback FormMSCD650 Final Exam Grading For.docxMSCD650 Final Exam feedback FormMSCD650 Final Exam Grading For.docx
MSCD650 Final Exam feedback FormMSCD650 Final Exam Grading For.docx
 
H64CSA_1B_023799_Osama
H64CSA_1B_023799_OsamaH64CSA_1B_023799_Osama
H64CSA_1B_023799_Osama
 
ME LAB1.docx
ME LAB1.docxME LAB1.docx
ME LAB1.docx
 
Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014Verilog Lecture3 hust 2014
Verilog Lecture3 hust 2014
 
Ch2
Ch2Ch2
Ch2
 
Digital logic and microprocessors
Digital logic and microprocessorsDigital logic and microprocessors
Digital logic and microprocessors
 
Verilog Tasks & Functions
Verilog Tasks & FunctionsVerilog Tasks & Functions
Verilog Tasks & Functions
 
floating point multiplier
floating point multiplierfloating point multiplier
floating point multiplier
 
VLSI lab manual
VLSI lab manualVLSI lab manual
VLSI lab manual
 
Admission in india 2015
Admission in india 2015Admission in india 2015
Admission in india 2015
 
Ch5_MorrisMano.pptx
Ch5_MorrisMano.pptxCh5_MorrisMano.pptx
Ch5_MorrisMano.pptx
 

More from arbaazrabs

Find the indicial roots then solve the following ODE using series. Yo.pdf
Find the indicial roots then solve the following ODE using series. Yo.pdfFind the indicial roots then solve the following ODE using series. Yo.pdf
Find the indicial roots then solve the following ODE using series. Yo.pdf
arbaazrabs
 
DNA and RNA are both stands of nucleotides but RNA can carry out for .pdf
DNA and RNA are both stands of nucleotides but RNA can carry out for .pdfDNA and RNA are both stands of nucleotides but RNA can carry out for .pdf
DNA and RNA are both stands of nucleotides but RNA can carry out for .pdf
arbaazrabs
 
Distinguish between the concepts of stereotyping, prejudice, and dis.pdf
Distinguish between the concepts of stereotyping, prejudice, and dis.pdfDistinguish between the concepts of stereotyping, prejudice, and dis.pdf
Distinguish between the concepts of stereotyping, prejudice, and dis.pdf
arbaazrabs
 
38 Cats eat only animals. Something fuzzy exists. Everything thats .pdf
38 Cats eat only animals. Something fuzzy exists. Everything thats .pdf38 Cats eat only animals. Something fuzzy exists. Everything thats .pdf
38 Cats eat only animals. Something fuzzy exists. Everything thats .pdf
arbaazrabs
 
An octapeptide was hydrolyzed and the amino acids separated. It was .pdf
An octapeptide was hydrolyzed and the amino acids separated. It was .pdfAn octapeptide was hydrolyzed and the amino acids separated. It was .pdf
An octapeptide was hydrolyzed and the amino acids separated. It was .pdf
arbaazrabs
 
c. hydrophillic heads; sticking out toward the interior and exterior.pdf
c. hydrophillic heads; sticking out toward the interior and exterior.pdfc. hydrophillic heads; sticking out toward the interior and exterior.pdf
c. hydrophillic heads; sticking out toward the interior and exterior.pdf
arbaazrabs
 
Biology Lab questions1. Units of amino acid are carried to the ri.pdf
Biology Lab questions1. Units of amino acid are carried to the ri.pdfBiology Lab questions1. Units of amino acid are carried to the ri.pdf
Biology Lab questions1. Units of amino acid are carried to the ri.pdf
arbaazrabs
 
Why is it important to use several sources of power and influence, r.pdf
Why is it important to use several sources of power and influence, r.pdfWhy is it important to use several sources of power and influence, r.pdf
Why is it important to use several sources of power and influence, r.pdf
arbaazrabs
 
What is special about the colugos teethLower incisors are comb-li.pdf
What is special about the colugos teethLower incisors are comb-li.pdfWhat is special about the colugos teethLower incisors are comb-li.pdf
What is special about the colugos teethLower incisors are comb-li.pdf
arbaazrabs
 
write simple program in c++ in which source reads a file of integers.pdf
write simple program in c++ in which source reads a file of integers.pdfwrite simple program in c++ in which source reads a file of integers.pdf
write simple program in c++ in which source reads a file of integers.pdf
arbaazrabs
 
Write an example of a unit test for a Class methods using JUnitfr.pdf
Write an example of a unit test for a Class methods using JUnitfr.pdfWrite an example of a unit test for a Class methods using JUnitfr.pdf
Write an example of a unit test for a Class methods using JUnitfr.pdf
arbaazrabs
 
whats in plasmaSolutionAnswerBlood is the fluid connecti.pdf
whats in plasmaSolutionAnswerBlood is the fluid connecti.pdfwhats in plasmaSolutionAnswerBlood is the fluid connecti.pdf
whats in plasmaSolutionAnswerBlood is the fluid connecti.pdf
arbaazrabs
 
What is a reason we want to write in a concise manner 1. To elimina.pdf
What is a reason we want to write in a concise manner 1. To elimina.pdfWhat is a reason we want to write in a concise manner 1. To elimina.pdf
What is a reason we want to write in a concise manner 1. To elimina.pdf
arbaazrabs
 
What are the advantages of Credit Suisse’s new supplier managemente.pdf
What are the advantages of Credit Suisse’s new supplier managemente.pdfWhat are the advantages of Credit Suisse’s new supplier managemente.pdf
What are the advantages of Credit Suisse’s new supplier managemente.pdf
arbaazrabs
 
The PRIMARY structure of a protein isformed by alpha helices and .pdf
The PRIMARY structure of a protein isformed by alpha helices and .pdfThe PRIMARY structure of a protein isformed by alpha helices and .pdf
The PRIMARY structure of a protein isformed by alpha helices and .pdf
arbaazrabs
 
The figure below shows the chromosomes in a cell for some species (.pdf
The figure below shows the chromosomes in a cell for some species (.pdfThe figure below shows the chromosomes in a cell for some species (.pdf
The figure below shows the chromosomes in a cell for some species (.pdf
arbaazrabs
 
The addButton_Click procedure should perform the following three tas.pdf
The addButton_Click procedure should perform the following three tas.pdfThe addButton_Click procedure should perform the following three tas.pdf
The addButton_Click procedure should perform the following three tas.pdf
arbaazrabs
 
A mistake occurs during lambda phage excision from the E. coli genom.pdf
A mistake occurs during lambda phage excision from the E. coli genom.pdfA mistake occurs during lambda phage excision from the E. coli genom.pdf
A mistake occurs during lambda phage excision from the E. coli genom.pdf
arbaazrabs
 
Assume a System Engineering class has 27 students, of which one thir.pdf
Assume a System Engineering class has 27 students, of which one thir.pdfAssume a System Engineering class has 27 students, of which one thir.pdf
Assume a System Engineering class has 27 students, of which one thir.pdf
arbaazrabs
 
1.) Explain what type of mechanism and application use a  marine ste.pdf
1.) Explain what type of mechanism and application use a  marine ste.pdf1.) Explain what type of mechanism and application use a  marine ste.pdf
1.) Explain what type of mechanism and application use a  marine ste.pdf
arbaazrabs
 

More from arbaazrabs (20)

Find the indicial roots then solve the following ODE using series. Yo.pdf
Find the indicial roots then solve the following ODE using series. Yo.pdfFind the indicial roots then solve the following ODE using series. Yo.pdf
Find the indicial roots then solve the following ODE using series. Yo.pdf
 
DNA and RNA are both stands of nucleotides but RNA can carry out for .pdf
DNA and RNA are both stands of nucleotides but RNA can carry out for .pdfDNA and RNA are both stands of nucleotides but RNA can carry out for .pdf
DNA and RNA are both stands of nucleotides but RNA can carry out for .pdf
 
Distinguish between the concepts of stereotyping, prejudice, and dis.pdf
Distinguish between the concepts of stereotyping, prejudice, and dis.pdfDistinguish between the concepts of stereotyping, prejudice, and dis.pdf
Distinguish between the concepts of stereotyping, prejudice, and dis.pdf
 
38 Cats eat only animals. Something fuzzy exists. Everything thats .pdf
38 Cats eat only animals. Something fuzzy exists. Everything thats .pdf38 Cats eat only animals. Something fuzzy exists. Everything thats .pdf
38 Cats eat only animals. Something fuzzy exists. Everything thats .pdf
 
An octapeptide was hydrolyzed and the amino acids separated. It was .pdf
An octapeptide was hydrolyzed and the amino acids separated. It was .pdfAn octapeptide was hydrolyzed and the amino acids separated. It was .pdf
An octapeptide was hydrolyzed and the amino acids separated. It was .pdf
 
c. hydrophillic heads; sticking out toward the interior and exterior.pdf
c. hydrophillic heads; sticking out toward the interior and exterior.pdfc. hydrophillic heads; sticking out toward the interior and exterior.pdf
c. hydrophillic heads; sticking out toward the interior and exterior.pdf
 
Biology Lab questions1. Units of amino acid are carried to the ri.pdf
Biology Lab questions1. Units of amino acid are carried to the ri.pdfBiology Lab questions1. Units of amino acid are carried to the ri.pdf
Biology Lab questions1. Units of amino acid are carried to the ri.pdf
 
Why is it important to use several sources of power and influence, r.pdf
Why is it important to use several sources of power and influence, r.pdfWhy is it important to use several sources of power and influence, r.pdf
Why is it important to use several sources of power and influence, r.pdf
 
What is special about the colugos teethLower incisors are comb-li.pdf
What is special about the colugos teethLower incisors are comb-li.pdfWhat is special about the colugos teethLower incisors are comb-li.pdf
What is special about the colugos teethLower incisors are comb-li.pdf
 
write simple program in c++ in which source reads a file of integers.pdf
write simple program in c++ in which source reads a file of integers.pdfwrite simple program in c++ in which source reads a file of integers.pdf
write simple program in c++ in which source reads a file of integers.pdf
 
Write an example of a unit test for a Class methods using JUnitfr.pdf
Write an example of a unit test for a Class methods using JUnitfr.pdfWrite an example of a unit test for a Class methods using JUnitfr.pdf
Write an example of a unit test for a Class methods using JUnitfr.pdf
 
whats in plasmaSolutionAnswerBlood is the fluid connecti.pdf
whats in plasmaSolutionAnswerBlood is the fluid connecti.pdfwhats in plasmaSolutionAnswerBlood is the fluid connecti.pdf
whats in plasmaSolutionAnswerBlood is the fluid connecti.pdf
 
What is a reason we want to write in a concise manner 1. To elimina.pdf
What is a reason we want to write in a concise manner 1. To elimina.pdfWhat is a reason we want to write in a concise manner 1. To elimina.pdf
What is a reason we want to write in a concise manner 1. To elimina.pdf
 
What are the advantages of Credit Suisse’s new supplier managemente.pdf
What are the advantages of Credit Suisse’s new supplier managemente.pdfWhat are the advantages of Credit Suisse’s new supplier managemente.pdf
What are the advantages of Credit Suisse’s new supplier managemente.pdf
 
The PRIMARY structure of a protein isformed by alpha helices and .pdf
The PRIMARY structure of a protein isformed by alpha helices and .pdfThe PRIMARY structure of a protein isformed by alpha helices and .pdf
The PRIMARY structure of a protein isformed by alpha helices and .pdf
 
The figure below shows the chromosomes in a cell for some species (.pdf
The figure below shows the chromosomes in a cell for some species (.pdfThe figure below shows the chromosomes in a cell for some species (.pdf
The figure below shows the chromosomes in a cell for some species (.pdf
 
The addButton_Click procedure should perform the following three tas.pdf
The addButton_Click procedure should perform the following three tas.pdfThe addButton_Click procedure should perform the following three tas.pdf
The addButton_Click procedure should perform the following three tas.pdf
 
A mistake occurs during lambda phage excision from the E. coli genom.pdf
A mistake occurs during lambda phage excision from the E. coli genom.pdfA mistake occurs during lambda phage excision from the E. coli genom.pdf
A mistake occurs during lambda phage excision from the E. coli genom.pdf
 
Assume a System Engineering class has 27 students, of which one thir.pdf
Assume a System Engineering class has 27 students, of which one thir.pdfAssume a System Engineering class has 27 students, of which one thir.pdf
Assume a System Engineering class has 27 students, of which one thir.pdf
 
1.) Explain what type of mechanism and application use a  marine ste.pdf
1.) Explain what type of mechanism and application use a  marine ste.pdf1.) Explain what type of mechanism and application use a  marine ste.pdf
1.) Explain what type of mechanism and application use a  marine ste.pdf
 

Recently uploaded

Francesca Gottschalk - How can education support child empowerment.pptx
Francesca Gottschalk - How can education support child empowerment.pptxFrancesca Gottschalk - How can education support child empowerment.pptx
Francesca Gottschalk - How can education support child empowerment.pptx
EduSkills OECD
 
The French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free downloadThe French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free download
Vivekanand Anglo Vedic Academy
 
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
EugeneSaldivar
 
CACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdfCACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdf
camakaiclarkmusic
 
Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.
Ashokrao Mane college of Pharmacy Peth-Vadgaon
 
Acetabularia Information For Class 9 .docx
Acetabularia Information For Class 9  .docxAcetabularia Information For Class 9  .docx
Acetabularia Information For Class 9 .docx
vaibhavrinwa19
 
Home assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdfHome assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdf
Tamralipta Mahavidyalaya
 
Polish students' mobility in the Czech Republic
Polish students' mobility in the Czech RepublicPolish students' mobility in the Czech Republic
Polish students' mobility in the Czech Republic
Anna Sz.
 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
Special education needs
 
Thesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.pptThesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.ppt
EverAndrsGuerraGuerr
 
Lapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdfLapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdf
Jean Carlos Nunes Paixão
 
Language Across the Curriculm LAC B.Ed.
Language Across the  Curriculm LAC B.Ed.Language Across the  Curriculm LAC B.Ed.
Language Across the Curriculm LAC B.Ed.
Atul Kumar Singh
 
The basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptxThe basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptx
heathfieldcps1
 
1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx
JosvitaDsouza2
 
Palestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptxPalestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptx
RaedMohamed3
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
Jisc
 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
siemaillard
 
Instructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptxInstructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptx
Jheel Barad
 
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdfAdversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
Po-Chuan Chen
 
The Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptxThe Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptx
DhatriParmar
 

Recently uploaded (20)

Francesca Gottschalk - How can education support child empowerment.pptx
Francesca Gottschalk - How can education support child empowerment.pptxFrancesca Gottschalk - How can education support child empowerment.pptx
Francesca Gottschalk - How can education support child empowerment.pptx
 
The French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free downloadThe French Revolution Class 9 Study Material pdf free download
The French Revolution Class 9 Study Material pdf free download
 
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...TESDA TM1 REVIEWER  FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
TESDA TM1 REVIEWER FOR NATIONAL ASSESSMENT WRITTEN AND ORAL QUESTIONS WITH A...
 
CACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdfCACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdf
 
Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.
 
Acetabularia Information For Class 9 .docx
Acetabularia Information For Class 9  .docxAcetabularia Information For Class 9  .docx
Acetabularia Information For Class 9 .docx
 
Home assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdfHome assignment II on Spectroscopy 2024 Answers.pdf
Home assignment II on Spectroscopy 2024 Answers.pdf
 
Polish students' mobility in the Czech Republic
Polish students' mobility in the Czech RepublicPolish students' mobility in the Czech Republic
Polish students' mobility in the Czech Republic
 
special B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdfspecial B.ed 2nd year old paper_20240531.pdf
special B.ed 2nd year old paper_20240531.pdf
 
Thesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.pptThesis Statement for students diagnonsed withADHD.ppt
Thesis Statement for students diagnonsed withADHD.ppt
 
Lapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdfLapbook sobre os Regimes Totalitários.pdf
Lapbook sobre os Regimes Totalitários.pdf
 
Language Across the Curriculm LAC B.Ed.
Language Across the  Curriculm LAC B.Ed.Language Across the  Curriculm LAC B.Ed.
Language Across the Curriculm LAC B.Ed.
 
The basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptxThe basics of sentences session 5pptx.pptx
The basics of sentences session 5pptx.pptx
 
1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx
 
Palestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptxPalestine last event orientationfvgnh .pptx
Palestine last event orientationfvgnh .pptx
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
 
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
aaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaaa
 
Instructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptxInstructions for Submissions thorugh G- Classroom.pptx
Instructions for Submissions thorugh G- Classroom.pptx
 
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdfAdversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
Adversarial Attention Modeling for Multi-dimensional Emotion Regression.pdf
 
The Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptxThe Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptx
 

Design verilog code [in ISE Project Navigator Verilog Module, Veril.pdf

  • 1. Design verilog code [in ISE Project Navigator: Verilog Module, Verilog Text Fixture_TB] for: 4-bits synchronous up/down counter with an enable. This counter has three inputs Clock, ENABLE, and UP/DOWN’ and four outputs O3 (MSB),O2,O1, and O0 (LSB). The table below defines the circuit behavior: ENABLE UP/DOWN' Function 0 X Stop counting 0 Count down: 15,14,...,1,0,15,14, Count up: 0,1,...,14,15,0,1 Solution What is the verilog code for 4-bit updown counter with synchronous clear? 2 ANSWERS [Sanket Naik] Sanket Naik, been there, done that...! 1.7k Views module up_dn_cnt ( input clk, input clr, //Active high clear input up, //Active high up count enable input dn, //Active down up count enable output [3:0] count ); always @(posedge clk, posedge clr) begin if(clr == 1) begin count <= 'b0; end else begin if(up == 1) begin count <= count + 1; end else if(dn == 1) begin count <= count - 1; end end end endmodule