SlideShare a Scribd company logo
Comprehensive SoC Power Grid verification using
                VoltageStorm

    Navneet Mohindru, VoltageStorm Product Validation Lead
              Lalit Garg, VAVO Product Engineer
Agenda


• Introduction

• Static
       and Dynamic IR drop analysis using
 VoltageStorm
• SoC   Hierarchical Analysis Methodology
• Results   and Observations
• Conclusions

• Q&A




                                            2
Introduction


•   Low power supply voltage causing IR drop problems in SoC
    designs
•   Increasing demand to handle analog blocks in SoC
    –   Have their own dedicated supplies
         –   Must account for block boundary voltages
         –   IR drop/ground bounce from top-level power routing
    –   Some analog blocks share digital power supplies
•   Integration with VoltageStorm
    –   Base SoC power integrity product
    –   Hierarchical, cell-based approach
         –   Utilizes abstracted power grid views
    –   Mix & match power grid views for complete solution


                                                                  3
Static and Dynamic IR drop
analysis using VoltageStorm
• VoltageStormsupports both static and dynamic
IR drop analysis




                                                 4
Static IR drop analysis using
VoltageStorm

• Static
       IR drop analysis verifies robustness of power rail by
 showing static IR drop, open circuits, missing vias and high
 current densities
• StaticIR drop analysis is based upon average power
 calculated by powermeter
• Average   power calculation is based upon three methods
  –   Full-chip VCD
  –   Accura based switching probability propagation
      method
  –   Mixture of Accura and VCD



                                                                5
Dynamic IR drop analysis using
VoltageStorm
• Dynamic  IR drop analysis is used for analyzing the effect of
 transient IR drop
• Helpsin optimizing number of decoupling capacitors to reduce
 leakage in 90nm and sub-90nm designs
• Based upon instance based dynamic current consumption
 calculated by powermeter
• Powermeteruses two methods to calculate dynamic current
 consumption
  –   Vector-based
       –   Uses gate or transistor level simulation to generate dynamic
           power/current waveform
       –   Most accurate solution if “right” vectors are provided by user
  –   Vectorless
       –   Uses timing window information to generate dynamic power/current
           waveform
       –   Best approach to obtain full-chip transient information

                                                                              6
SoC Hierarchical Analysis
Methodology

• VoltageStorm         uses power grid views for enabling hierarchical
 solution
•A power grid is used to model power rail and power
 distribution information of each instance in design.
• For   SoC design, different type of power grids will include
  –   standard cell views
  –   digital blocks
  –   IP/Memory blocks
  –   Analog/Mixed Signal Blocks




                                                                         7
Types of Power Grid Views


•   VoltageStorm uses four types of                   Static Power Grid Views
    power grid views for hierarchical   Detailed           Reduced        Abstract      Port
    static analysis
     –   Detailed
     –   Reduced
     –   Abstract
     –   Port                                         Dynamic Power Grid Views
•   For hierarchical dynamic            Detailed Dynamic       Reduced Dynamic       Port

    analysis, three additional types
    of power grid views are used
     –   Detailed Dynamic
     –   Reduced Dynamic
     –   Port



                                                                                               8
Power Grid Views for Standard
Cells

•   For standard cells port views are sufficient. However for dynamic
    analysis detailed views are created for CRC modeling of standard
    cell.
•   CRC modeling
     – R on

    –   Device C
    –   Load C (Pin capacitance)

        Cell
               VDD
                                                                          Loading C
                                                                            from
         x           out                                                 SPEF/DSPF
                                                         device Ron
                                   x                                  out
                                   device C   device C
                                                         Pin-cap




                                                                                      9
Power Grid Views for
Memory/Hard IP
• ForMemory and hard IP, detailed views
 are created for verifying that these blocks
 do not suffer from IR drop within the
 instance                                                       GDS
• Two       methods are used
  –   Libgen detailed view creation.                Vectors     VST
        –   Most common method
  –   Transistor level VoltageStorm Flow                 LEF   LibGen     Detailed
                                                                        Dynamic PGV
        –   Used for detailed dynamic PGV creation
        –   Device recognition and spice netlist
            generation
        –   RC extraction
        –   Spice-like simulation on spice netlist for
            current tap generation
        –   Analysis on power grid
        –   Generate detailed/reduced power grid view
            from analysis results


                                                                                10
Power Grid Views for Digital
Blocks

• Forcreating power grid views of digital blocks, static or
 dynamic IR drop analysis is run at block level first. Here
 are the steps
  –   Calculate instance based average static/dynamic current.
  –   Run R or RC extraction on block level power grid.
  –   Merge the static or dynamic current with the extracted
      power grid.
  –   Run static/dynamic analysis.
  –   Generate detailed/reduced power grid view for the block
      from the analysis results.



                                                                 11
Power Grid Views for Analog/
Mixed-Signal Blocks (VAVO)

• Analog VoltageStorm (VAVO) accurately characterize power
 consumption and power distribution inside analog and mixed signal blocks
  –   Power integrity verification
       –   IR drop (power and ground rails)
       –   Power rail Electromigration

  –   Integrated with Virtuoso ADE environment
  –   Flow supported by existing Cadence products
       –   Assura LVS
       –   Assura RCX
       –   Spectre or UltraSim for simulation




                                                                            12
VAVO FLOW DIAGRAM
        Testbench schematic             Schematic           Layout


                                                  Assura LVS

                                         Assura RCX (RC extraction)

       Create simulation netlist
     from config view including           Assura extracted cellview
      Assura extracted cellview


                          Create Simulation files


                         Run Spectre or UltraSim


                              Run VAVO/VAEO
Create Power
  Grid View                  Display results in
                          Assura extracted cellview



                                                                      13
Simulation Details in VAVO
            V   V     V
                                      Top-levels of interconnect
                          V   V
     VDD                                                               M6
                                  V       V     V        V   V     V
                                                                       M5

                                                                       M4

                                      V    V        V    V   V     V




                                                                       M1

 V
     Voltage measures are             V                      V


     automatically added to
                                      V                      V
        extracted netlist

                                               Analog
                                               Circuit


                                                                        14
VAVO Plot and Report Examples




                                15
VoltageStorm
Comprehensive SoC Power Grid Verification

                                 VoltageStorm PE

    Full Chip
Static & Dynamic
                                       Power Grid Views
                                       (static & dynamic)


               Encounter Platform                                            Virtuoso Platform

VoltageStorm PE          VoltageStorm DG         VoltageStorm VST          VoltageStorm VAVO
(activity propagation,    (vectorless & VCD,
                                                   (dynamic, transistor)    (dynamic, transistor)
  static, cell-based)    dynamic, cell-based)


              CeltIC                 CeltIC                   UltraSim                  Spectre
              NDC                    NDC                                                UltraSim

  Large Digital            Large Digital             Small Digital           Mixed-Signal &
    Design                   Design                    Design                Analog Design

                                                                                                16
Results and Observations




Static IR Drop top level run with libgen detailed view   Static IR Drop top level run with VAVO detailed view
                        of PLL                                                  of PLL
                                                                                                       17
Results and Observations


• The power grid views generated by VAVO for the
 analog block are more accurate because of the
 following reasons:
 –   Device recognition is more accurate because Assura
     LVS is used to extract analog devices.
 –   RCX is more accurate in extracting parasitics for
     non-manhattan geometry.
 –   Tap current in VAVO is calculated from actual
     Spice-like simulation.



                                                          18
Conclusions


• Here   are conclusions from this methodology
 –   VoltageStorm can analyze and characterize all
     types of blocks such as digital blocks, memories,
     hard IPs and analog/mixed signal blocks accurately
     at SoC level.
 –   The hierarchical flow of VoltageStorm gives it
     infinite capacity since designer can create as many
     power grid views as required and feed them into
     top level run.




                                                           19

More Related Content

What's hot

UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...shaotao liu
 
Embedded System Microcontroller Interactive Course using BASCOM-AVR -Lecture7
Embedded System Microcontroller Interactive Course using BASCOM-AVR -Lecture7Embedded System Microcontroller Interactive Course using BASCOM-AVR -Lecture7
Embedded System Microcontroller Interactive Course using BASCOM-AVR -Lecture7
AL-AWAIL for Electronic Engineering
 
Last Mile Gear Manufactures Cyclone
Last Mile Gear Manufactures CycloneLast Mile Gear Manufactures Cyclone
Last Mile Gear Manufactures Cyclone
Last Mile Gear
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
Rajesh_navandar
 
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture...
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture...Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture...
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture...
AL-AWAIL for Electronic Engineering
 
Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020  Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020
Javed G S, PhD
 
Benefits of a relay barrier
Benefits of a relay barrierBenefits of a relay barrier
Benefits of a relay barrier
rns-usa
 
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture6
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture6Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture6
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture6
AL-AWAIL for Electronic Engineering
 
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture10
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture10Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture10
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture10
AL-AWAIL for Electronic Engineering
 
JESD204B Survival Guide: Practical JESD204B Technical Information, Tips, and ...
JESD204B Survival Guide: Practical JESD204B Technical Information, Tips, and ...JESD204B Survival Guide: Practical JESD204B Technical Information, Tips, and ...
JESD204B Survival Guide: Practical JESD204B Technical Information, Tips, and ...
Analog Devices, Inc.
 
SoC Power Reduction
SoC Power ReductionSoC Power Reduction
SoC Power Reduction
Mahesh Dananjaya
 
Speed checker for highway
Speed checker for highwaySpeed checker for highway
Speed checker for highwaySumit Sharma
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
SUNODH GARLAPATI
 
ICE Presentation: Integrated Component Characterization Environment
ICE Presentation: Integrated Component Characterization EnvironmentICE Presentation: Integrated Component Characterization Environment
ICE Presentation: Integrated Component Characterization Environment
NMDG NV
 
At24 c512b
At24 c512bAt24 c512b
At24 c512b
Mariano Rocha
 
Jaguar x86 Core Functional Verification
Jaguar x86 Core Functional VerificationJaguar x86 Core Functional Verification
Jaguar x86 Core Functional VerificationDVClub
 
Acx502 bmu
Acx502 bmuAcx502 bmu
Acx502 bmuUjwal Ks
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
 

What's hot (20)

UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
UPF-Based Static Low-Power Verification in Complex Power Structure SoC Design...
 
Embedded System Microcontroller Interactive Course using BASCOM-AVR -Lecture7
Embedded System Microcontroller Interactive Course using BASCOM-AVR -Lecture7Embedded System Microcontroller Interactive Course using BASCOM-AVR -Lecture7
Embedded System Microcontroller Interactive Course using BASCOM-AVR -Lecture7
 
Last Mile Gear Manufactures Cyclone
Last Mile Gear Manufactures CycloneLast Mile Gear Manufactures Cyclone
Last Mile Gear Manufactures Cyclone
 
Low Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC DesignLow Power Design Techniques for ASIC / SOC Design
Low Power Design Techniques for ASIC / SOC Design
 
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture...
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture...Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture...
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture...
 
Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020  Negitive Feedback in Analog IC Design 02 April 2020
Negitive Feedback in Analog IC Design 02 April 2020
 
Benefits of a relay barrier
Benefits of a relay barrierBenefits of a relay barrier
Benefits of a relay barrier
 
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture6
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture6Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture6
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture6
 
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture10
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture10Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture10
Embedded System Microcontroller Interactive Course using BASCOM-AVR - Lecture10
 
JESD204B Survival Guide: Practical JESD204B Technical Information, Tips, and ...
JESD204B Survival Guide: Practical JESD204B Technical Information, Tips, and ...JESD204B Survival Guide: Practical JESD204B Technical Information, Tips, and ...
JESD204B Survival Guide: Practical JESD204B Technical Information, Tips, and ...
 
SoC Power Reduction
SoC Power ReductionSoC Power Reduction
SoC Power Reduction
 
Speed checker for highway
Speed checker for highwaySpeed checker for highway
Speed checker for highway
 
Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2Low power in vlsi with upf basics part 2
Low power in vlsi with upf basics part 2
 
ICE Presentation: Integrated Component Characterization Environment
ICE Presentation: Integrated Component Characterization EnvironmentICE Presentation: Integrated Component Characterization Environment
ICE Presentation: Integrated Component Characterization Environment
 
At24 c512b
At24 c512bAt24 c512b
At24 c512b
 
Timekeeper Wiring5
Timekeeper Wiring5Timekeeper Wiring5
Timekeeper Wiring5
 
Roy aerofone power_verif
Roy aerofone power_verifRoy aerofone power_verif
Roy aerofone power_verif
 
Jaguar x86 Core Functional Verification
Jaguar x86 Core Functional VerificationJaguar x86 Core Functional Verification
Jaguar x86 Core Functional Verification
 
Acx502 bmu
Acx502 bmuAcx502 bmu
Acx502 bmu
 
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...IJCER (www.ijceronline.com) International Journal of computational Engineerin...
IJCER (www.ijceronline.com) International Journal of computational Engineerin...
 

Similar to Ctp cdnlive2005 1329mohindru.pres

Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significanceDeiptii Das
 
Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and VerificationDVClub
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08Obsidian Software
 
Mixed signal verification challenges
Mixed signal verification challengesMixed signal verification challenges
Mixed signal verification challenges
Régis SANTONJA
 
Solar pv – balance of systems
Solar pv – balance of systemsSolar pv – balance of systems
Solar pv – balance of systemsiplon
 
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
Javed G S, PhD
 
Laborelec - Evènement siemens (novembre 2011) 2
Laborelec  - Evènement siemens (novembre 2011) 2Laborelec  - Evènement siemens (novembre 2011) 2
Laborelec - Evènement siemens (novembre 2011) 2Cluster TWEED
 
Architecture for Smart Grid based Consumer End Solution
Architecture for Smart Grid based Consumer End SolutionArchitecture for Smart Grid based Consumer End Solution
Architecture for Smart Grid based Consumer End SolutionShashank Dhariwal
 
Overview of RTaW SysML-Companion
Overview of RTaW SysML-Companion Overview of RTaW SysML-Companion
Overview of RTaW SysML-Companion
RealTime-at-Work (RTaW)
 
Roy aeroVerifying Power Domains in AeroFONE
Roy aeroVerifying Power Domains in AeroFONERoy aeroVerifying Power Domains in AeroFONE
Roy aeroVerifying Power Domains in AeroFONEDVClub
 
Rte Dufour Protection Systemforactives Substation
Rte Dufour Protection Systemforactives SubstationRte Dufour Protection Systemforactives Substation
Rte Dufour Protection Systemforactives Substationcorinne rocherieux
 
A zigbee wireless sensor network and multiple way bus communication meduim ca...
A zigbee wireless sensor network and multiple way bus communication meduim ca...A zigbee wireless sensor network and multiple way bus communication meduim ca...
A zigbee wireless sensor network and multiple way bus communication meduim ca...ranjitha mudhiraj
 
Andes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorAndes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processor
RISC-V International
 
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELSSPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
Praveen Kumar
 
Network policies
Network policiesNetwork policies
Network policies
shanj
 
Overview Of I E C61850 Presentation..... W S M
Overview Of  I E C61850  Presentation..... W S MOverview Of  I E C61850  Presentation..... W S M
Overview Of I E C61850 Presentation..... W S Mginquesada
 
VMware vSphere 6.0 - Troubleshooting Training - Day 2
VMware vSphere 6.0 - Troubleshooting Training - Day 2VMware vSphere 6.0 - Troubleshooting Training - Day 2
VMware vSphere 6.0 - Troubleshooting Training - Day 2
Sanjeev Kumar
 

Similar to Ctp cdnlive2005 1329mohindru.pres (20)

Availability of ibis model and its significance
Availability of ibis model and its significanceAvailability of ibis model and its significance
Availability of ibis model and its significance
 
Low Power Design and Verification
Low Power Design and VerificationLow Power Design and Verification
Low Power Design and Verification
 
Low power design-ver_26_mar08
Low power design-ver_26_mar08Low power design-ver_26_mar08
Low power design-ver_26_mar08
 
Shultz dallas q108
Shultz dallas q108Shultz dallas q108
Shultz dallas q108
 
Schulz dallas q1_2008
Schulz dallas q1_2008Schulz dallas q1_2008
Schulz dallas q1_2008
 
Mixed signal verification challenges
Mixed signal verification challengesMixed signal verification challenges
Mixed signal verification challenges
 
Solar pv – balance of systems
Solar pv – balance of systemsSolar pv – balance of systems
Solar pv – balance of systems
 
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
CMOS Analog IC design by Dr GS Javed - Refresher Course - Batch 1
 
Laborelec - Evènement siemens (novembre 2011) 2
Laborelec  - Evènement siemens (novembre 2011) 2Laborelec  - Evènement siemens (novembre 2011) 2
Laborelec - Evènement siemens (novembre 2011) 2
 
Architecture for Smart Grid based Consumer End Solution
Architecture for Smart Grid based Consumer End SolutionArchitecture for Smart Grid based Consumer End Solution
Architecture for Smart Grid based Consumer End Solution
 
Overview of RTaW SysML-Companion
Overview of RTaW SysML-Companion Overview of RTaW SysML-Companion
Overview of RTaW SysML-Companion
 
Roy aeroVerifying Power Domains in AeroFONE
Roy aeroVerifying Power Domains in AeroFONERoy aeroVerifying Power Domains in AeroFONE
Roy aeroVerifying Power Domains in AeroFONE
 
Rte Dufour Protection Systemforactives Substation
Rte Dufour Protection Systemforactives SubstationRte Dufour Protection Systemforactives Substation
Rte Dufour Protection Systemforactives Substation
 
Ass5
Ass5Ass5
Ass5
 
A zigbee wireless sensor network and multiple way bus communication meduim ca...
A zigbee wireless sensor network and multiple way bus communication meduim ca...A zigbee wireless sensor network and multiple way bus communication meduim ca...
A zigbee wireless sensor network and multiple way bus communication meduim ca...
 
Andes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processorAndes andes clarity for risc-v vector processor
Andes andes clarity for risc-v vector processor
 
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELSSPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
SPICE LEVEL I/LEVEL II/LEVEL III AND BSIM MODELS
 
Network policies
Network policiesNetwork policies
Network policies
 
Overview Of I E C61850 Presentation..... W S M
Overview Of  I E C61850  Presentation..... W S MOverview Of  I E C61850  Presentation..... W S M
Overview Of I E C61850 Presentation..... W S M
 
VMware vSphere 6.0 - Troubleshooting Training - Day 2
VMware vSphere 6.0 - Troubleshooting Training - Day 2VMware vSphere 6.0 - Troubleshooting Training - Day 2
VMware vSphere 6.0 - Troubleshooting Training - Day 2
 

More from sandeep patil

Make useof.com -_how_the_internet_works
Make useof.com -_how_the_internet_worksMake useof.com -_how_the_internet_works
Make useof.com -_how_the_internet_works
sandeep patil
 
Fundamentals of digital electronics
 Fundamentals of digital electronics Fundamentals of digital electronics
Fundamentals of digital electronicssandeep patil
 
Electronics en engineering-basic-vocational-knowledge
Electronics en engineering-basic-vocational-knowledgeElectronics en engineering-basic-vocational-knowledge
Electronics en engineering-basic-vocational-knowledgesandeep patil
 
01255490crosstalk noise
01255490crosstalk noise01255490crosstalk noise
01255490crosstalk noisesandeep patil
 
3 minutes-to-god-shooting-cows
3 minutes-to-god-shooting-cows3 minutes-to-god-shooting-cows
3 minutes-to-god-shooting-cowssandeep patil
 
01255490crosstalk noise
01255490crosstalk noise01255490crosstalk noise
01255490crosstalk noisesandeep patil
 
3 minutes-to-god-shooting-cows
3 minutes-to-god-shooting-cows3 minutes-to-god-shooting-cows
3 minutes-to-god-shooting-cowssandeep patil
 

More from sandeep patil (13)

Make useof.com -_how_the_internet_works
Make useof.com -_how_the_internet_worksMake useof.com -_how_the_internet_works
Make useof.com -_how_the_internet_works
 
Fundamentals of digital electronics
 Fundamentals of digital electronics Fundamentals of digital electronics
Fundamentals of digital electronics
 
Electronics en engineering-basic-vocational-knowledge
Electronics en engineering-basic-vocational-knowledgeElectronics en engineering-basic-vocational-knowledge
Electronics en engineering-basic-vocational-knowledge
 
E lectronics
E lectronicsE lectronics
E lectronics
 
Electronics 01
Electronics 01Electronics 01
Electronics 01
 
3 d ic's
3 d ic's3 d ic's
3 d ic's
 
Infinity plus one
Infinity plus oneInfinity plus one
Infinity plus one
 
Buffer sizing
Buffer sizingBuffer sizing
Buffer sizing
 
Chip xtalk ebook_
Chip xtalk ebook_Chip xtalk ebook_
Chip xtalk ebook_
 
01255490crosstalk noise
01255490crosstalk noise01255490crosstalk noise
01255490crosstalk noise
 
3 minutes-to-god-shooting-cows
3 minutes-to-god-shooting-cows3 minutes-to-god-shooting-cows
3 minutes-to-god-shooting-cows
 
01255490crosstalk noise
01255490crosstalk noise01255490crosstalk noise
01255490crosstalk noise
 
3 minutes-to-god-shooting-cows
3 minutes-to-god-shooting-cows3 minutes-to-god-shooting-cows
3 minutes-to-god-shooting-cows
 

Recently uploaded

Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1
DianaGray10
 
Microsoft - Power Platform_G.Aspiotis.pdf
Microsoft - Power Platform_G.Aspiotis.pdfMicrosoft - Power Platform_G.Aspiotis.pdf
Microsoft - Power Platform_G.Aspiotis.pdf
Uni Systems S.M.S.A.
 
Climate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing DaysClimate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing Days
Kari Kakkonen
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance
 
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdfObservability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Paige Cruz
 
How to Get CNIC Information System with Paksim Ga.pptx
How to Get CNIC Information System with Paksim Ga.pptxHow to Get CNIC Information System with Paksim Ga.pptx
How to Get CNIC Information System with Paksim Ga.pptx
danishmna97
 
National Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practicesNational Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practices
Quotidiano Piemontese
 
A tale of scale & speed: How the US Navy is enabling software delivery from l...
A tale of scale & speed: How the US Navy is enabling software delivery from l...A tale of scale & speed: How the US Navy is enabling software delivery from l...
A tale of scale & speed: How the US Navy is enabling software delivery from l...
sonjaschweigert1
 
Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?
Nexer Digital
 
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AI
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AIEnchancing adoption of Open Source Libraries. A case study on Albumentations.AI
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AI
Vladimir Iglovikov, Ph.D.
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
James Anderson
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
Kumud Singh
 
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
DanBrown980551
 
UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6
DianaGray10
 
Removing Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software FuzzingRemoving Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software Fuzzing
Aftab Hussain
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
Neo4j
 
Free Complete Python - A step towards Data Science
Free Complete Python - A step towards Data ScienceFree Complete Python - A step towards Data Science
Free Complete Python - A step towards Data Science
RinaMondal9
 
Pushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 daysPushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 days
Adtran
 
GraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge GraphGraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge Graph
Guy Korland
 
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
SOFTTECHHUB
 

Recently uploaded (20)

Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1Communications Mining Series - Zero to Hero - Session 1
Communications Mining Series - Zero to Hero - Session 1
 
Microsoft - Power Platform_G.Aspiotis.pdf
Microsoft - Power Platform_G.Aspiotis.pdfMicrosoft - Power Platform_G.Aspiotis.pdf
Microsoft - Power Platform_G.Aspiotis.pdf
 
Climate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing DaysClimate Impact of Software Testing at Nordic Testing Days
Climate Impact of Software Testing at Nordic Testing Days
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
 
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdfObservability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
Observability Concepts EVERY Developer Should Know -- DeveloperWeek Europe.pdf
 
How to Get CNIC Information System with Paksim Ga.pptx
How to Get CNIC Information System with Paksim Ga.pptxHow to Get CNIC Information System with Paksim Ga.pptx
How to Get CNIC Information System with Paksim Ga.pptx
 
National Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practicesNational Security Agency - NSA mobile device best practices
National Security Agency - NSA mobile device best practices
 
A tale of scale & speed: How the US Navy is enabling software delivery from l...
A tale of scale & speed: How the US Navy is enabling software delivery from l...A tale of scale & speed: How the US Navy is enabling software delivery from l...
A tale of scale & speed: How the US Navy is enabling software delivery from l...
 
Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?Elizabeth Buie - Older adults: Are we really designing for our future selves?
Elizabeth Buie - Older adults: Are we really designing for our future selves?
 
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AI
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AIEnchancing adoption of Open Source Libraries. A case study on Albumentations.AI
Enchancing adoption of Open Source Libraries. A case study on Albumentations.AI
 
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
GDG Cloud Southlake #33: Boule & Rebala: Effective AppSec in SDLC using Deplo...
 
Mind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AIMind map of terminologies used in context of Generative AI
Mind map of terminologies used in context of Generative AI
 
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
 
UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6UiPath Test Automation using UiPath Test Suite series, part 6
UiPath Test Automation using UiPath Test Suite series, part 6
 
Removing Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software FuzzingRemoving Uninteresting Bytes in Software Fuzzing
Removing Uninteresting Bytes in Software Fuzzing
 
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024GraphSummit Singapore | The Art of the  Possible with Graph - Q2 2024
GraphSummit Singapore | The Art of the Possible with Graph - Q2 2024
 
Free Complete Python - A step towards Data Science
Free Complete Python - A step towards Data ScienceFree Complete Python - A step towards Data Science
Free Complete Python - A step towards Data Science
 
Pushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 daysPushing the limits of ePRTC: 100ns holdover for 100 days
Pushing the limits of ePRTC: 100ns holdover for 100 days
 
GraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge GraphGraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge Graph
 
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
Why You Should Replace Windows 11 with Nitrux Linux 3.5.0 for enhanced perfor...
 

Ctp cdnlive2005 1329mohindru.pres

  • 1. Comprehensive SoC Power Grid verification using VoltageStorm Navneet Mohindru, VoltageStorm Product Validation Lead Lalit Garg, VAVO Product Engineer
  • 2. Agenda • Introduction • Static and Dynamic IR drop analysis using VoltageStorm • SoC Hierarchical Analysis Methodology • Results and Observations • Conclusions • Q&A 2
  • 3. Introduction • Low power supply voltage causing IR drop problems in SoC designs • Increasing demand to handle analog blocks in SoC – Have their own dedicated supplies – Must account for block boundary voltages – IR drop/ground bounce from top-level power routing – Some analog blocks share digital power supplies • Integration with VoltageStorm – Base SoC power integrity product – Hierarchical, cell-based approach – Utilizes abstracted power grid views – Mix & match power grid views for complete solution 3
  • 4. Static and Dynamic IR drop analysis using VoltageStorm • VoltageStormsupports both static and dynamic IR drop analysis 4
  • 5. Static IR drop analysis using VoltageStorm • Static IR drop analysis verifies robustness of power rail by showing static IR drop, open circuits, missing vias and high current densities • StaticIR drop analysis is based upon average power calculated by powermeter • Average power calculation is based upon three methods – Full-chip VCD – Accura based switching probability propagation method – Mixture of Accura and VCD 5
  • 6. Dynamic IR drop analysis using VoltageStorm • Dynamic IR drop analysis is used for analyzing the effect of transient IR drop • Helpsin optimizing number of decoupling capacitors to reduce leakage in 90nm and sub-90nm designs • Based upon instance based dynamic current consumption calculated by powermeter • Powermeteruses two methods to calculate dynamic current consumption – Vector-based – Uses gate or transistor level simulation to generate dynamic power/current waveform – Most accurate solution if “right” vectors are provided by user – Vectorless – Uses timing window information to generate dynamic power/current waveform – Best approach to obtain full-chip transient information 6
  • 7. SoC Hierarchical Analysis Methodology • VoltageStorm uses power grid views for enabling hierarchical solution •A power grid is used to model power rail and power distribution information of each instance in design. • For SoC design, different type of power grids will include – standard cell views – digital blocks – IP/Memory blocks – Analog/Mixed Signal Blocks 7
  • 8. Types of Power Grid Views • VoltageStorm uses four types of Static Power Grid Views power grid views for hierarchical Detailed Reduced Abstract Port static analysis – Detailed – Reduced – Abstract – Port Dynamic Power Grid Views • For hierarchical dynamic Detailed Dynamic Reduced Dynamic Port analysis, three additional types of power grid views are used – Detailed Dynamic – Reduced Dynamic – Port 8
  • 9. Power Grid Views for Standard Cells • For standard cells port views are sufficient. However for dynamic analysis detailed views are created for CRC modeling of standard cell. • CRC modeling – R on – Device C – Load C (Pin capacitance) Cell VDD Loading C from x out SPEF/DSPF device Ron x out device C device C Pin-cap 9
  • 10. Power Grid Views for Memory/Hard IP • ForMemory and hard IP, detailed views are created for verifying that these blocks do not suffer from IR drop within the instance GDS • Two methods are used – Libgen detailed view creation. Vectors VST – Most common method – Transistor level VoltageStorm Flow LEF LibGen Detailed Dynamic PGV – Used for detailed dynamic PGV creation – Device recognition and spice netlist generation – RC extraction – Spice-like simulation on spice netlist for current tap generation – Analysis on power grid – Generate detailed/reduced power grid view from analysis results 10
  • 11. Power Grid Views for Digital Blocks • Forcreating power grid views of digital blocks, static or dynamic IR drop analysis is run at block level first. Here are the steps – Calculate instance based average static/dynamic current. – Run R or RC extraction on block level power grid. – Merge the static or dynamic current with the extracted power grid. – Run static/dynamic analysis. – Generate detailed/reduced power grid view for the block from the analysis results. 11
  • 12. Power Grid Views for Analog/ Mixed-Signal Blocks (VAVO) • Analog VoltageStorm (VAVO) accurately characterize power consumption and power distribution inside analog and mixed signal blocks – Power integrity verification – IR drop (power and ground rails) – Power rail Electromigration – Integrated with Virtuoso ADE environment – Flow supported by existing Cadence products – Assura LVS – Assura RCX – Spectre or UltraSim for simulation 12
  • 13. VAVO FLOW DIAGRAM Testbench schematic Schematic Layout Assura LVS Assura RCX (RC extraction) Create simulation netlist from config view including Assura extracted cellview Assura extracted cellview Create Simulation files Run Spectre or UltraSim Run VAVO/VAEO Create Power Grid View Display results in Assura extracted cellview 13
  • 14. Simulation Details in VAVO V V V Top-levels of interconnect V V VDD M6 V V V V V V M5 M4 V V V V V V M1 V Voltage measures are V V automatically added to V V extracted netlist Analog Circuit 14
  • 15. VAVO Plot and Report Examples 15
  • 16. VoltageStorm Comprehensive SoC Power Grid Verification VoltageStorm PE Full Chip Static & Dynamic Power Grid Views (static & dynamic) Encounter Platform Virtuoso Platform VoltageStorm PE VoltageStorm DG VoltageStorm VST VoltageStorm VAVO (activity propagation, (vectorless & VCD, (dynamic, transistor) (dynamic, transistor) static, cell-based) dynamic, cell-based) CeltIC CeltIC UltraSim Spectre NDC NDC UltraSim Large Digital Large Digital Small Digital Mixed-Signal & Design Design Design Analog Design 16
  • 17. Results and Observations Static IR Drop top level run with libgen detailed view Static IR Drop top level run with VAVO detailed view of PLL of PLL 17
  • 18. Results and Observations • The power grid views generated by VAVO for the analog block are more accurate because of the following reasons: – Device recognition is more accurate because Assura LVS is used to extract analog devices. – RCX is more accurate in extracting parasitics for non-manhattan geometry. – Tap current in VAVO is calculated from actual Spice-like simulation. 18
  • 19. Conclusions • Here are conclusions from this methodology – VoltageStorm can analyze and characterize all types of blocks such as digital blocks, memories, hard IPs and analog/mixed signal blocks accurately at SoC level. – The hierarchical flow of VoltageStorm gives it infinite capacity since designer can create as many power grid views as required and feed them into top level run. 19