SlideShare a Scribd company logo
1 of 9
Download to read offline
19
This article can be downloaded from http://www.ijeetc.com/currentissue.php
Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014
FIELD PROGRAMMABLE GATE ARRAYS
AND THEIR APPLICATIONS
S M Shashidhara1
*
*Corresponding Author: S M Shashidhara,  shashi.com@gmail.com
In recent years, Field-Programmable GateArrays (FPGAs) have become increasingly fascinating
as digital logic engines, sometimes used alone and sometimes in conjunction with a processor
chip. The largest FPGA vendors, Altera and Xilinx, have invested heavily in developing DSP-
oriented chips and development tools. This article presents insights focusing on the evolving
role of FPGAs in embedded systems.
Keywords: FPGA, Embedded systems, Integrated circuit, Reconfigurable, Logic block
INTRODUCTION
Since a very long time, simulations and
prototyping have been a very significant part
of the electronics industry. Before steering in
for the real fabrication of a dedicated
hardware, one would desire to be certain that
what their making will work the way they want
it to. Over the past few decades electronics
companies provided dedicated hardware in
their products. Hence, it was not conceivable
for the end user to reconfigure them to his
own needs. This need resulted in the
emergence of a new market segment of
customer configurable integrated circuits
called Field Programmable Gate Arrays or
FPGAs.
ISSN 2319 – 2518 www.ijeetc.com
Vol. 3, No. 1, January 2014
© 2014 IJEETC. All Rights Reserved
Int. J. Elec&Electr.Eng&Telecoms. 2014
1
Proudhadevaraya Institute of Technology, Hospet, Karnataka, India.
Research Paper
HISTORY
The FPGA shares a common history with most
Programmable Logic Devices. The first of this
kind of devices was the Programmable Read
Only Memory. Further driven by need of
specifically implementing logic circuits, Philips
invented the Field-Programmable LogicArray
(FPLA) in the 1970s. This consisted of two
planes, a programmable wiredAND-plane and
the other as wired OR. It could implement
functions in the Sum of Products (SoP) form.
To subdue the difficulties of speed and cost,
ProgrammableArray Logics were developed
which had a programmable ‘AND’ plane fed
into fixed OR gates. PALs and PLAs are
20
This article can be downloaded from http://www.ijeetc.com/currentissue.php
Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014
classified as Simple Programmable Logic
Devices (SPLDs). These SPLDs were
incorporated onto a single chip and
interconnects were provided to connect the
SPLD blocks through programming. These
were called Complex PLDs and were first
initiated byAltera.
Then another category of Electronic
devices, Mask-Programmable Gate Arrays
comprising of transistor arrays which could be
connected using custom wires propelled the
design of the FPGAs. Transistors broke way
to Logic Blocks and the customization could
now be executed by the user on the field and
not in the manufacturing laboratory. The credit
to evolve the first commercially practicable
FPGA goes to Xilinx co-founders Ross
Freeman and Bernard Vonderschmitt. The
XC2064 was devised in 1985 comprising of
64 Configurable Logic Blocks and 3 Look Up
Tables.
By the end of 1990, a lot of competition
sprung up in manufacturing FPGAs when
Xilinx’s market share started to downslope.
Players likeActel,Altera, QuickLogic, Lattice,
Cypress, Lucent and SiliconBlue started
entering this domain and carving their niche in
the world FPGA Market. Then, Xilinx, started
gaining acceptance in applications like Digital
Signal Processing and Telecommunications.
In 1997, Adrian Thompson succeeded in
blending a genetic algorithm technology with
FPGA and started a new age of Evolvable
hardware.
Regardless of the different makers and
slightly different architectures and features,
most FPGA’s have a common general
approach. The main constitutional blocks of
any FPGA are a flexible programmable
‘Configurable Logic Block’ (CLB), enclosed by
programmable ‘Input/Output Blocks.’ The
hierarchy of routing channels interconnect
different blocks on the board. In addition, these
may consist of Clock DLLs for clock
distribution and control and Dedicated Block
RAM memories.
CONFIGURABLE LOGIC
BLOCK
The primary building block of a Configurable
Logic Block is the logic cell. A logic cell may
comprise of an input function generator, carry
logic and a memory element. The function
generators are carried out as Look Up Tables
dependent on the input.AXilinx Spartan II has
4 inputs LUT where each LUT can cater a 16 x
1 bit Synchronous RAM which can in addition
be multiplexed using multiplexers.An LUT may
also be used as a Shift register. The storage
elements may be used as edge triggered flip-
Figure 1: Field Programmable Gate Array
Chip
21
This article can be downloaded from http://www.ijeetc.com/currentissue.php
Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014
flopsorlevelresponsive latches. The arithmetic
logic consists of an XOR gate for full-adder
operation along with dedicated carry logic
channels. The figure below demonstrates an
FPGA slice:
Figure 2: Configurable Logic Blocks
INPUT/OUTPUT BLOCK
This block features inputs and outputs bearing
a wide range of signaling standards and
interfaces.Abasic Input/Output block is shown
below:
Figure 3: FPGA Slice
22
This article can be downloaded from http://www.ijeetc.com/currentissue.php
Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014
The buffers in the Input and output paths
route the input and output signals to the internal
logic and the output pads either direct or via a
flip-flop. The buffer can be set to conform to
various supported signaling standards which
might even be user defined and externally set.
ROUTING MATRIX
In any assembly line it is often the slowest
section which sets the overall output rate.
Practically, it is the route that takes the longest
delay that finally determines the performance
of the entire electronic system. Thus routing
algorithms are brought into place for the
design of the most effective paths to extradite
optimal performance. Routing is done on
various levels like Local, between LUTs, flip-
flops and General Routing Matrix, General
Purpose Routing between various CLBs, I/O
Routing between I/O Blocks and CLBs,
Dedicated Routing for a certain categories of
signals for maximizing performance and
Global Routing for distributing clocks and other
signals with very high fan-out.
CLOCK DISTRIBUTION
High speed, low skew clock distribution is
provided in most FPGAs using Primary Global
Routingresources. Witheachclockinputbuffer,
there is a digital Delay-Locked Loop which
eliminates skew between clock input pad and
internal clock input pins by adjusting the delay
element.
FPGA families now also have large block
RAM structures to complement the distributed
Figure 4: Input/Output Block
23
This article can be downloaded from http://www.ijeetc.com/currentissue.php
Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014
RAM Look-Up Tables, sizes varying for
different FPGA devices.
The design of an FPGA follows mostly the
similar approach as any VLSI system, the main
steps being Design Entry, Behavioral
Simulation, Synthesis, Post-Synthesis
Simulation, translation, mapping and routing,
and further analysis like Timing simulation and
Static TimingAnalysis.
In order to increase the performance of
FPGAs, more transistors could be used. The
area overhead involved with FPGAs is higher
than ASIC and could possibly do with more
density now that 28 nm processes are also
being applied on them. Placing more
transistors also means that bigger designs
would be imaginable. Use of asynchronous
FPGA architecture has also shown better
effects matched with pipelining technology
which reduces global inputs and betters
throughput.
Security used to be a major concern as the
code needed to be revealed every time it was
loaded on to the FPGA’s, thus making FPGA’s
flexibility a likely threat to malicious alterations
Figure 5: Pipelined Dataflow in FPGA
during fabrication. But, bitstream encryption
has arrived to the rescue of FPGAs.
Often the inexperienced designers confront
this dilemma that how much powerful FPGA
would be appropriate for their application.
Manufacturers specify metrics like ‘Gate
count’. For example, Xilinx uses 3 metrics to
assess capacity of FPGA, Maximum Logic
Gates, Maximum Memory Bits and normal
Gate Range. As long as these cited metrics
are agreeable, migration between families is
somewhat easy, but it rarely offers subtle
comparability between different sellers
because of the deviation of architectures and
as a result of which, performance alters. A
better metric is to compare the number and
type of logic resources rendered. In addition
to it, the designer must be amply aware of what
is precisely required of the device as sellers
might be boasting of features which would be
of little importance to the job. For example,
Altera’s Stratix II EP2S180 features about
1,86,576 4-Input LUTs while Xilinx Virtex-4
XC4VLX200 carries 1,78,176. However if the
design needs only 175K LUTs, the last
mentioned would suffice. If RAM is the desired
24
This article can be downloaded from http://www.ijeetc.com/currentissue.php
Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014
metric for the designer, neither the Xilinx
XC4VLX200’s 6 Mbits nor the Altera’s
EP2S180’s 9 Mbits would be preferred over
the lesser publicised, older model of
XC4VFX140 bearing 9.9 Mbits. So it requires
complete understanding on the part of the user
who ultimatelyneedsitand notwhat thecutting-
edge product on the shelf offerings.
COMMON FPGA
APPLICATIONS
FPGAs are used in all walks of life in
innumerable number of embedded systems;
On an average there are atleast 50 household
embedded systems, many of them using
FPGA as the processing engine.
Aerospace and Defense: Avionics/DO-254,
Communications, Missiles and Munitions,
Secure Solutions, Space.
ASIC Prototyping: Before fabricating
Application Specific ICs, there functionality is
checked on an FPGA.
Audio: Connectivity Solutions, Portable
Electronics, Radio, Digital Signal Processing
(DSP).
Automotive: High Resolution Video, Image
Processing, Vehicle Networking and
Connectivity,Automotive Infotainment.
Broadcast: Real-Time Video Engine,
EdgeQAM, Encoders, Displays, Switches and
Routers.
Consumer Electronics: Digital Displays,
Digital Cameras, Multi-function Printers,
Portable Electronics, Set-top Boxes.
Distributed Monetary Systems: Transaction
verification, BitCoin Mining
Data Center: Servers, Security, Routers,
Switches, Gateways, Load Balancing.
High Performance Computing: Servers,
Super Computers, SIGINT Systems, High-end
RADARS, Data Mining Systems, High-end
Beam Forming Systems.
Industrial: Industrial Imaging, Data Mining
Systems, Industrial Networking.
Medical: Ultrasound, CT Scanner, X-ray, PET,
X-ray, PET, MRI, Surgical Systems.
Security: Industrial Imaging, Secure
Solutions, Image Processing.
Video and Image Processing: High
Resolution Video, Video Over IP Gateway,
Digital Displays, Industrial Imaging.
Wired Communications: Optical Transport
Networks, Network Processing, Connectivity
Interfaces.
Wireless Communications: Baseband,
Connectivity Interfaces, Mobile Backhaul,
Radio.
FPGA ADVANTAGES
Systems based on FPGAs provide many
advantages over conventional
implementations:
Long TimeAvailability: Field Programmable
Gate Arrays (FPGAs) enable customer
independent from component manufacturers
since the functionality is not given by the device
itself but in its configuration. The configuration
can be programmed to be portable between
miscellaneous FPGAs without any
adaptations.
Can be Updated and Upgraded at
Customer’s Site (Field Programmable):
FPGAs in contrast to traditional IC chips are
completely configurable. Updates and feature
enhancement can be carried out even after
delivery at customer’s site.
25
This article can be downloaded from http://www.ijeetc.com/currentissue.php
Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014
Extremely Short Time to Market: Through
the use of FPGAs, the development of
hardware prototypes is significantly quickened
since a larger part of the hardware
development process is shifted into IP core
design, which can go on in parallel. In addition,
because of the early availability of hardware
prototypes, time-consuming activities like the
start-up and debugging of the hardware are
advanced concurrently to the overall
development.
Performance Gain for Software
Applications: Complex tasks are often
handled through software implementations in
combination with high-performance
processors. In this case FPGAs provide a
competitive alternative, which by means of
parallelization and customization for the
specific task even gives an additional
performance gain.
Fast and Efficient Systems: With FPGAs,
systems can be developed that are precisely
customized for the intended task and for this
reason works extremely efficient.
Massively Parallel Data Processing: The
amount of data in contemporary systems is
ever increasing which leads to the problem
that systems working sequential are no longer
able to process the data on time. Particularly
by means of parallelization, FPGAs provide
an answer to this problem which in addition
scales excellently.
Real Time Applications: FPGAs are
perfectly suited for applications in time-critical
systems. In contrast to software based
solutions with real time operating systems,
FPGAs provide real deterministic behavior. By
means of the featured flexibility even complex
computations can be accomplished in
extremely short periods.
CONCLUSION
This paper reviewed some of the recent
advances in FPGA technology. As higher
performance becomes necessary and ASIC
costs, risk adversity and time to market
pressures continue to increase, FPGAs will
continue to grow in importance.
REFERENCES
1. Article on available at FPGAhttp://www.af-
inventions.de
2. BDTI’s New Report (2013), “Special
Preview: BDTI’s FPGAs for DSP”, EE
Times Jounal, 2nd
Edition.
3. Field-programmable gate array Artcle
available at http://www.en.wikipedia.org/
wiki/Field-programmable_gate_array
4. FPGA Applications Article, available at
http://www.engineersgarage.com
FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

More Related Content

What's hot

The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC
Mohamed Youssery
 

What's hot (20)

Design of LDPC Decoder Based On FPGA in Digital Image Watermarking Technology
Design of LDPC Decoder Based On FPGA in Digital Image Watermarking TechnologyDesign of LDPC Decoder Based On FPGA in Digital Image Watermarking Technology
Design of LDPC Decoder Based On FPGA in Digital Image Watermarking Technology
 
Fundamentals of FPGA
Fundamentals of FPGAFundamentals of FPGA
Fundamentals of FPGA
 
Seminar on field programmable gate array
Seminar on field programmable gate arraySeminar on field programmable gate array
Seminar on field programmable gate array
 
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case StudyTraditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
 
SoC FPGA Technology
SoC FPGA TechnologySoC FPGA Technology
SoC FPGA Technology
 
Session 2,3 FPGAs
Session 2,3 FPGAsSession 2,3 FPGAs
Session 2,3 FPGAs
 
FPGA In a Nutshell
FPGA In a NutshellFPGA In a Nutshell
FPGA In a Nutshell
 
CPLDs
CPLDsCPLDs
CPLDs
 
CPLD xc9500
CPLD xc9500CPLD xc9500
CPLD xc9500
 
Fpga technology
Fpga technologyFpga technology
Fpga technology
 
SoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~EmbeddedSoC~FPGA~ASIC~Embedded
SoC~FPGA~ASIC~Embedded
 
A review on virtex fpga family from xilinx
A review on virtex fpga family from xilinxA review on virtex fpga family from xilinx
A review on virtex fpga family from xilinx
 
programmable logic array
programmable logic arrayprogrammable logic array
programmable logic array
 
Xilinx virtex 7 fpga - Semester Presentation
Xilinx virtex 7 fpga - Semester PresentationXilinx virtex 7 fpga - Semester Presentation
Xilinx virtex 7 fpga - Semester Presentation
 
FPGA TECHNOLOGY AND FAMILIES
FPGA TECHNOLOGY AND FAMILIESFPGA TECHNOLOGY AND FAMILIES
FPGA TECHNOLOGY AND FAMILIES
 
0.FPGA for dummies: Historical introduction
0.FPGA for dummies: Historical introduction0.FPGA for dummies: Historical introduction
0.FPGA for dummies: Historical introduction
 
Security issues in FPGA based systems.
Security issues in FPGA based systems.Security issues in FPGA based systems.
Security issues in FPGA based systems.
 
Fpga asic technologies_flow
Fpga asic technologies_flowFpga asic technologies_flow
Fpga asic technologies_flow
 
Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)Implementation of Soft-core processor on FPGA (Final Presentation)
Implementation of Soft-core processor on FPGA (Final Presentation)
 
The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC The comparison between FPGA , ARDUINO , ASIC
The comparison between FPGA , ARDUINO , ASIC
 

Similar to FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
Akash Chowdhury
 
L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)
NAGASAI547
 
programmable_devices_en_02_2014
programmable_devices_en_02_2014programmable_devices_en_02_2014
programmable_devices_en_02_2014
Svetozar Jovanovic
 

Similar to FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS (20)

Chapter 4
Chapter 4Chapter 4
Chapter 4
 
Fpg as 11 body
Fpg as 11 bodyFpg as 11 body
Fpg as 11 body
 
Fpga
FpgaFpga
Fpga
 
Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...Programmable logic controller performance enhancement by field programmable g...
Programmable logic controller performance enhancement by field programmable g...
 
System designing and modelling using fpga
System designing and modelling using fpgaSystem designing and modelling using fpga
System designing and modelling using fpga
 
Project report of 2016 Trainee_final
Project report of 2016 Trainee_finalProject report of 2016 Trainee_final
Project report of 2016 Trainee_final
 
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).ppt
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).pptL12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).ppt
L12_PROGRAMMABLE+LOGIC+DEVICES+(PLD).ppt
 
L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)L12 programmable+logic+devices+(pld)
L12 programmable+logic+devices+(pld)
 
Fpga architectures and applications
Fpga architectures and applicationsFpga architectures and applications
Fpga architectures and applications
 
FPGA Architecture and application
FPGA Architecture and application FPGA Architecture and application
FPGA Architecture and application
 
ASIC VS FPGA.ppt
ASIC VS FPGA.pptASIC VS FPGA.ppt
ASIC VS FPGA.ppt
 
Fpga lecture
Fpga lectureFpga lecture
Fpga lecture
 
Nt1310 Unit 5 Algorithm
Nt1310 Unit 5 AlgorithmNt1310 Unit 5 Algorithm
Nt1310 Unit 5 Algorithm
 
Programmable Hardware - An Overview
Programmable Hardware - An OverviewProgrammable Hardware - An Overview
Programmable Hardware - An Overview
 
Introduction to FPGA, VHDL
Introduction to FPGA, VHDL  Introduction to FPGA, VHDL
Introduction to FPGA, VHDL
 
FPGA Based VLSI Design
FPGA Based VLSI DesignFPGA Based VLSI Design
FPGA Based VLSI Design
 
Cpld and fpga mod vi
Cpld and fpga   mod viCpld and fpga   mod vi
Cpld and fpga mod vi
 
VLSI PLDS pla, pal
VLSI PLDS pla, palVLSI PLDS pla, pal
VLSI PLDS pla, pal
 
FPGAPpr_final
FPGAPpr_finalFPGAPpr_final
FPGAPpr_final
 
programmable_devices_en_02_2014
programmable_devices_en_02_2014programmable_devices_en_02_2014
programmable_devices_en_02_2014
 

More from Professor at RYM Engineering College, Ballari

More from Professor at RYM Engineering College, Ballari (10)

Sustainable Development
Sustainable DevelopmentSustainable Development
Sustainable Development
 
Temperature Measurement
Temperature MeasurementTemperature Measurement
Temperature Measurement
 
DC Choppers
DC ChoppersDC Choppers
DC Choppers
 
A TASTE OF WELL BEING
A TASTE OF WELL BEINGA TASTE OF WELL BEING
A TASTE OF WELL BEING
 
The Role of Engineers in Shaping the Modern World
The Role of Engineers in Shaping the Modern WorldThe Role of Engineers in Shaping the Modern World
The Role of Engineers in Shaping the Modern World
 
MINERAL PROCESSING SIMULATION USING MODSIM SOFWARE
MINERAL PROCESSING SIMULATION USING MODSIM SOFWAREMINERAL PROCESSING SIMULATION USING MODSIM SOFWARE
MINERAL PROCESSING SIMULATION USING MODSIM SOFWARE
 
Born to succeed
Born to succeedBorn to succeed
Born to succeed
 
Transformer
TransformerTransformer
Transformer
 
DWT based Fault Diagnosis of Induction Motor
DWT based Fault Diagnosis of Induction MotorDWT based Fault Diagnosis of Induction Motor
DWT based Fault Diagnosis of Induction Motor
 
A NOVEL SNAPSHOT BASED APPROACH FOR DIRECTION OF ARRIVIAL ESTIMATION WITH LEA...
A NOVEL SNAPSHOT BASED APPROACH FOR DIRECTION OF ARRIVIAL ESTIMATION WITH LEA...A NOVEL SNAPSHOT BASED APPROACH FOR DIRECTION OF ARRIVIAL ESTIMATION WITH LEA...
A NOVEL SNAPSHOT BASED APPROACH FOR DIRECTION OF ARRIVIAL ESTIMATION WITH LEA...
 

Recently uploaded

VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
dharasingh5698
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Christo Ananth
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
MsecMca
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
ankushspencer015
 

Recently uploaded (20)

Unleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leapUnleashing the Power of the SORA AI lastest leap
Unleashing the Power of the SORA AI lastest leap
 
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Ankleshwar 7001035870 Whatsapp Number, 24/07 Booking
 
Online banking management system project.pdf
Online banking management system project.pdfOnline banking management system project.pdf
Online banking management system project.pdf
 
KubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghlyKubeKraft presentation @CloudNativeHooghly
KubeKraft presentation @CloudNativeHooghly
 
Double Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torqueDouble Revolving field theory-how the rotor develops torque
Double Revolving field theory-how the rotor develops torque
 
Thermal Engineering Unit - I & II . ppt
Thermal Engineering  Unit - I & II . pptThermal Engineering  Unit - I & II . ppt
Thermal Engineering Unit - I & II . ppt
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 BookingVIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
VIP Call Girls Palanpur 7001035870 Whatsapp Number, 24/07 Booking
 
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
(INDIRA) Call Girl Bhosari Call Now 8617697112 Bhosari Escorts 24x7
 
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
Call for Papers - Educational Administration: Theory and Practice, E-ISSN: 21...
 
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
(INDIRA) Call Girl Aurangabad Call Now 8617697112 Aurangabad Escorts 24x7
 
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete RecordCCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
CCS335 _ Neural Networks and Deep Learning Laboratory_Lab Complete Record
 
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
The Most Attractive Pune Call Girls Manchar 8250192130 Will You Miss This Cha...
 
UNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its PerformanceUNIT - IV - Air Compressors and its Performance
UNIT - IV - Air Compressors and its Performance
 
University management System project report..pdf
University management System project report..pdfUniversity management System project report..pdf
University management System project report..pdf
 
notes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.pptnotes on Evolution Of Analytic Scalability.ppt
notes on Evolution Of Analytic Scalability.ppt
 
AKTU Computer Networks notes --- Unit 3.pdf
AKTU Computer Networks notes ---  Unit 3.pdfAKTU Computer Networks notes ---  Unit 3.pdf
AKTU Computer Networks notes --- Unit 3.pdf
 
Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...Call for Papers - International Journal of Intelligent Systems and Applicatio...
Call for Papers - International Journal of Intelligent Systems and Applicatio...
 
Roadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and RoutesRoadmap to Membership of RICS - Pathways and Routes
Roadmap to Membership of RICS - Pathways and Routes
 
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
Call Girls Pimpri Chinchwad Call Me 7737669865 Budget Friendly No Advance Boo...
 

FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS

  • 1.
  • 2. 19 This article can be downloaded from http://www.ijeetc.com/currentissue.php Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014 FIELD PROGRAMMABLE GATE ARRAYS AND THEIR APPLICATIONS S M Shashidhara1 * *Corresponding Author: S M Shashidhara,  shashi.com@gmail.com In recent years, Field-Programmable GateArrays (FPGAs) have become increasingly fascinating as digital logic engines, sometimes used alone and sometimes in conjunction with a processor chip. The largest FPGA vendors, Altera and Xilinx, have invested heavily in developing DSP- oriented chips and development tools. This article presents insights focusing on the evolving role of FPGAs in embedded systems. Keywords: FPGA, Embedded systems, Integrated circuit, Reconfigurable, Logic block INTRODUCTION Since a very long time, simulations and prototyping have been a very significant part of the electronics industry. Before steering in for the real fabrication of a dedicated hardware, one would desire to be certain that what their making will work the way they want it to. Over the past few decades electronics companies provided dedicated hardware in their products. Hence, it was not conceivable for the end user to reconfigure them to his own needs. This need resulted in the emergence of a new market segment of customer configurable integrated circuits called Field Programmable Gate Arrays or FPGAs. ISSN 2319 – 2518 www.ijeetc.com Vol. 3, No. 1, January 2014 © 2014 IJEETC. All Rights Reserved Int. J. Elec&Electr.Eng&Telecoms. 2014 1 Proudhadevaraya Institute of Technology, Hospet, Karnataka, India. Research Paper HISTORY The FPGA shares a common history with most Programmable Logic Devices. The first of this kind of devices was the Programmable Read Only Memory. Further driven by need of specifically implementing logic circuits, Philips invented the Field-Programmable LogicArray (FPLA) in the 1970s. This consisted of two planes, a programmable wiredAND-plane and the other as wired OR. It could implement functions in the Sum of Products (SoP) form. To subdue the difficulties of speed and cost, ProgrammableArray Logics were developed which had a programmable ‘AND’ plane fed into fixed OR gates. PALs and PLAs are
  • 3. 20 This article can be downloaded from http://www.ijeetc.com/currentissue.php Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014 classified as Simple Programmable Logic Devices (SPLDs). These SPLDs were incorporated onto a single chip and interconnects were provided to connect the SPLD blocks through programming. These were called Complex PLDs and were first initiated byAltera. Then another category of Electronic devices, Mask-Programmable Gate Arrays comprising of transistor arrays which could be connected using custom wires propelled the design of the FPGAs. Transistors broke way to Logic Blocks and the customization could now be executed by the user on the field and not in the manufacturing laboratory. The credit to evolve the first commercially practicable FPGA goes to Xilinx co-founders Ross Freeman and Bernard Vonderschmitt. The XC2064 was devised in 1985 comprising of 64 Configurable Logic Blocks and 3 Look Up Tables. By the end of 1990, a lot of competition sprung up in manufacturing FPGAs when Xilinx’s market share started to downslope. Players likeActel,Altera, QuickLogic, Lattice, Cypress, Lucent and SiliconBlue started entering this domain and carving their niche in the world FPGA Market. Then, Xilinx, started gaining acceptance in applications like Digital Signal Processing and Telecommunications. In 1997, Adrian Thompson succeeded in blending a genetic algorithm technology with FPGA and started a new age of Evolvable hardware. Regardless of the different makers and slightly different architectures and features, most FPGA’s have a common general approach. The main constitutional blocks of any FPGA are a flexible programmable ‘Configurable Logic Block’ (CLB), enclosed by programmable ‘Input/Output Blocks.’ The hierarchy of routing channels interconnect different blocks on the board. In addition, these may consist of Clock DLLs for clock distribution and control and Dedicated Block RAM memories. CONFIGURABLE LOGIC BLOCK The primary building block of a Configurable Logic Block is the logic cell. A logic cell may comprise of an input function generator, carry logic and a memory element. The function generators are carried out as Look Up Tables dependent on the input.AXilinx Spartan II has 4 inputs LUT where each LUT can cater a 16 x 1 bit Synchronous RAM which can in addition be multiplexed using multiplexers.An LUT may also be used as a Shift register. The storage elements may be used as edge triggered flip- Figure 1: Field Programmable Gate Array Chip
  • 4. 21 This article can be downloaded from http://www.ijeetc.com/currentissue.php Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014 flopsorlevelresponsive latches. The arithmetic logic consists of an XOR gate for full-adder operation along with dedicated carry logic channels. The figure below demonstrates an FPGA slice: Figure 2: Configurable Logic Blocks INPUT/OUTPUT BLOCK This block features inputs and outputs bearing a wide range of signaling standards and interfaces.Abasic Input/Output block is shown below: Figure 3: FPGA Slice
  • 5. 22 This article can be downloaded from http://www.ijeetc.com/currentissue.php Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014 The buffers in the Input and output paths route the input and output signals to the internal logic and the output pads either direct or via a flip-flop. The buffer can be set to conform to various supported signaling standards which might even be user defined and externally set. ROUTING MATRIX In any assembly line it is often the slowest section which sets the overall output rate. Practically, it is the route that takes the longest delay that finally determines the performance of the entire electronic system. Thus routing algorithms are brought into place for the design of the most effective paths to extradite optimal performance. Routing is done on various levels like Local, between LUTs, flip- flops and General Routing Matrix, General Purpose Routing between various CLBs, I/O Routing between I/O Blocks and CLBs, Dedicated Routing for a certain categories of signals for maximizing performance and Global Routing for distributing clocks and other signals with very high fan-out. CLOCK DISTRIBUTION High speed, low skew clock distribution is provided in most FPGAs using Primary Global Routingresources. Witheachclockinputbuffer, there is a digital Delay-Locked Loop which eliminates skew between clock input pad and internal clock input pins by adjusting the delay element. FPGA families now also have large block RAM structures to complement the distributed Figure 4: Input/Output Block
  • 6. 23 This article can be downloaded from http://www.ijeetc.com/currentissue.php Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014 RAM Look-Up Tables, sizes varying for different FPGA devices. The design of an FPGA follows mostly the similar approach as any VLSI system, the main steps being Design Entry, Behavioral Simulation, Synthesis, Post-Synthesis Simulation, translation, mapping and routing, and further analysis like Timing simulation and Static TimingAnalysis. In order to increase the performance of FPGAs, more transistors could be used. The area overhead involved with FPGAs is higher than ASIC and could possibly do with more density now that 28 nm processes are also being applied on them. Placing more transistors also means that bigger designs would be imaginable. Use of asynchronous FPGA architecture has also shown better effects matched with pipelining technology which reduces global inputs and betters throughput. Security used to be a major concern as the code needed to be revealed every time it was loaded on to the FPGA’s, thus making FPGA’s flexibility a likely threat to malicious alterations Figure 5: Pipelined Dataflow in FPGA during fabrication. But, bitstream encryption has arrived to the rescue of FPGAs. Often the inexperienced designers confront this dilemma that how much powerful FPGA would be appropriate for their application. Manufacturers specify metrics like ‘Gate count’. For example, Xilinx uses 3 metrics to assess capacity of FPGA, Maximum Logic Gates, Maximum Memory Bits and normal Gate Range. As long as these cited metrics are agreeable, migration between families is somewhat easy, but it rarely offers subtle comparability between different sellers because of the deviation of architectures and as a result of which, performance alters. A better metric is to compare the number and type of logic resources rendered. In addition to it, the designer must be amply aware of what is precisely required of the device as sellers might be boasting of features which would be of little importance to the job. For example, Altera’s Stratix II EP2S180 features about 1,86,576 4-Input LUTs while Xilinx Virtex-4 XC4VLX200 carries 1,78,176. However if the design needs only 175K LUTs, the last mentioned would suffice. If RAM is the desired
  • 7. 24 This article can be downloaded from http://www.ijeetc.com/currentissue.php Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014 metric for the designer, neither the Xilinx XC4VLX200’s 6 Mbits nor the Altera’s EP2S180’s 9 Mbits would be preferred over the lesser publicised, older model of XC4VFX140 bearing 9.9 Mbits. So it requires complete understanding on the part of the user who ultimatelyneedsitand notwhat thecutting- edge product on the shelf offerings. COMMON FPGA APPLICATIONS FPGAs are used in all walks of life in innumerable number of embedded systems; On an average there are atleast 50 household embedded systems, many of them using FPGA as the processing engine. Aerospace and Defense: Avionics/DO-254, Communications, Missiles and Munitions, Secure Solutions, Space. ASIC Prototyping: Before fabricating Application Specific ICs, there functionality is checked on an FPGA. Audio: Connectivity Solutions, Portable Electronics, Radio, Digital Signal Processing (DSP). Automotive: High Resolution Video, Image Processing, Vehicle Networking and Connectivity,Automotive Infotainment. Broadcast: Real-Time Video Engine, EdgeQAM, Encoders, Displays, Switches and Routers. Consumer Electronics: Digital Displays, Digital Cameras, Multi-function Printers, Portable Electronics, Set-top Boxes. Distributed Monetary Systems: Transaction verification, BitCoin Mining Data Center: Servers, Security, Routers, Switches, Gateways, Load Balancing. High Performance Computing: Servers, Super Computers, SIGINT Systems, High-end RADARS, Data Mining Systems, High-end Beam Forming Systems. Industrial: Industrial Imaging, Data Mining Systems, Industrial Networking. Medical: Ultrasound, CT Scanner, X-ray, PET, X-ray, PET, MRI, Surgical Systems. Security: Industrial Imaging, Secure Solutions, Image Processing. Video and Image Processing: High Resolution Video, Video Over IP Gateway, Digital Displays, Industrial Imaging. Wired Communications: Optical Transport Networks, Network Processing, Connectivity Interfaces. Wireless Communications: Baseband, Connectivity Interfaces, Mobile Backhaul, Radio. FPGA ADVANTAGES Systems based on FPGAs provide many advantages over conventional implementations: Long TimeAvailability: Field Programmable Gate Arrays (FPGAs) enable customer independent from component manufacturers since the functionality is not given by the device itself but in its configuration. The configuration can be programmed to be portable between miscellaneous FPGAs without any adaptations. Can be Updated and Upgraded at Customer’s Site (Field Programmable): FPGAs in contrast to traditional IC chips are completely configurable. Updates and feature enhancement can be carried out even after delivery at customer’s site.
  • 8. 25 This article can be downloaded from http://www.ijeetc.com/currentissue.php Int. J. Elec&Electr.Eng&Telecoms. 2014 S M Shashidhara, 2014 Extremely Short Time to Market: Through the use of FPGAs, the development of hardware prototypes is significantly quickened since a larger part of the hardware development process is shifted into IP core design, which can go on in parallel. In addition, because of the early availability of hardware prototypes, time-consuming activities like the start-up and debugging of the hardware are advanced concurrently to the overall development. Performance Gain for Software Applications: Complex tasks are often handled through software implementations in combination with high-performance processors. In this case FPGAs provide a competitive alternative, which by means of parallelization and customization for the specific task even gives an additional performance gain. Fast and Efficient Systems: With FPGAs, systems can be developed that are precisely customized for the intended task and for this reason works extremely efficient. Massively Parallel Data Processing: The amount of data in contemporary systems is ever increasing which leads to the problem that systems working sequential are no longer able to process the data on time. Particularly by means of parallelization, FPGAs provide an answer to this problem which in addition scales excellently. Real Time Applications: FPGAs are perfectly suited for applications in time-critical systems. In contrast to software based solutions with real time operating systems, FPGAs provide real deterministic behavior. By means of the featured flexibility even complex computations can be accomplished in extremely short periods. CONCLUSION This paper reviewed some of the recent advances in FPGA technology. As higher performance becomes necessary and ASIC costs, risk adversity and time to market pressures continue to increase, FPGAs will continue to grow in importance. REFERENCES 1. Article on available at FPGAhttp://www.af- inventions.de 2. BDTI’s New Report (2013), “Special Preview: BDTI’s FPGAs for DSP”, EE Times Jounal, 2nd Edition. 3. Field-programmable gate array Artcle available at http://www.en.wikipedia.org/ wiki/Field-programmable_gate_array 4. FPGA Applications Article, available at http://www.engineersgarage.com