SlideShare a Scribd company logo
1 of 24
A Low-Voltage Radiation-Hardened 13T SRAM
Bitcell for Ultralow Power Space Application
Presented By
Ananth Mahadev
ERD18ECVE04
11/11/2018
A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
1
Guided by:
Ms.Divya D S
Overview
 Introduction
 Objective
 Existing system
 Literature survey
Single-Event Upsets (SEUs)
Standard SRAM under SEUs
 Theory of 13T SRAM
 Proposed 13T Radiation Tolerant Bitcell
 Considerations in the Design
 Results
 Advantages and Limitations
 Conclusion
 References
11/11/2018
A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
2
Introduction
 What’s an SRAM(Static Random Access Memory)?
 The memory circuit is said to be static if the stored data can be retained
indefinitely, as long as the power supply is on, without any need for periodic
refresh operation.
 Volatile in nature
 3 Operation states:
Hold
Write
Read
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
3
Fig.1 A Typical 6T SRAM Bitcell
Introduction(Cont’d)
 SRAM is used in electronic systems in Space Technology i.e. for
Satellite communications.
 As the need for viable units are increasing, high density and speed
requirements are to be improved for SRAM Bitcells
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
4
Fig.2 International Space Station
Objective:
 Here the solution for tackling the issue of Single Event Upsets(SEUs)
in SRAM cells used for space applications will be demonstrated.
The proposed radiation-hardened bitcell is a pioneer solution for
embedded memories in low-power space applications.
To Design a novel solution with high stability under varying
voltage and process parameter variations ,with an outstanding
advantage over the conventional 6T SRAM cell.
To achieve High-radiation tolerance under scaled supply voltages,
into the sub-threshold region are discussed.
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
5
Existing System:
 Higher Power consumption
 More prone to radiation
 Lower Durability of the system.
 Employs 6T Bitcells with limited range of operating voltages.
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
6
Literature Survey:
 Researches to implement an Ultra Low Power ,Robust and efficient
SRAM bitcell with High soft error tolerance and wide range of
operating voltage are conducted.(Ref [2])
The existing 6T SRAM bitcell doesn’t meet these expectations
completely
 This work put forth a novel 13T SRAM bitcell meeting the above
expectations(Ref. [1])
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
7
Literature Survey
Single-Event Upsets (SEUs):
 Soft errors or single-event upsets (SEUs) caused by radiation strikes
are the primary causes of failure in VLSI circuits operating within a
highly radiating environment.
 Soft errors occur when an energetic particle hits and passes through
a semiconductor material, potentially causing a bit flip in the
memory cell.
 SEUs and other similar single-event effects (SEEs) are often
considered when designing for space applications and other high-
radiation environments.
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
8
Single-Event Upsets (SEUs) cont’d:
 When the particle hits a reverse-biased p-n-junction, such as a
transistor diffusion-bulk junction:
 the injected charge is transported by drift and causes a transient
current pulse that changes the node voltage,
 Data loss occurs when the collected charge (Qcoll) exceeds the
critical charge (Qcrit) that is stored in the sensitive node.
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
9
Standard SRAM under SEUs
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
10
Fig.3 (a) Conventional 6T SRAM cell. (b) Example of an SRAM bit flip caused by an SEU.
Theory of 13T SRAM:
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
11
 The proposed bitcell is specially designed to enable robust, low-
voltage, ULP operation in space applications and other high-
radiation environment.
 This is achieved by employing a dual-feedback, separated-feedback
mechanism to overcome the increased vulnerability due to supply
voltage scaling.
 By driving the acute data level by a pair of equipotentially driven,
but independent, inverters, a strong, dual-driven feedback
mechanism is applied with node separation for SEU protection. This
setup effectively protects Q from an upset, while achieving a high
critical charge at node Q.
Proposed 13T Radiation Tolerant Bitcell
Fig.4 Proposed 13T SRAM bitcell
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
12
Proposed 13T Radiation Tolerant Bitcell cont’d:
Considerations in the design
 Proposed design was depicted in Fig.4
 The following steps are the path for designing the bitcell:
 Bitcell Design
 Storage Mechanism (Hold)(depicted in Fig.5)
 Inherent SEU Tolerance
The data are read out from node Q, such that any temporary upset on other
nodes can be tolerated.
The assisting nodes are designed with redundancy to ensure that any upset
will be mitigated by the other nodes.
 Write Operation(depicted in Fig.6)
 Read and Half Select.(depicted in Fig.7) 11/11/2018
A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
13
Proposed 13T Radiation Tolerant Bitcell cont’d:
Considerations in the design
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
14
Fig.5 Storage states
Fig.6 Write operation
Proposed 13T Radiation Tolerant Bitcell cont’d:
Considerations in the design
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
15
Fig.7 Half-select functionality for both, storing 1 (cell 1) and storing 0 (cell 2), cases.
Result:
SEU Tolerance
 Disrupt Modeling
 The transient current I(t) due to radiation on a pn junction is given by equation
(1);
I(t) =
𝑄𝑐𝑜𝑙𝑙
𝑡𝑓−𝑡𝑟
𝑒
−
𝑡
𝑡𝑓 − 𝑒
−
𝑡
𝑡𝑟 - (1)
 where Qcoll is the charge collected due to the particle strike, tr is the rise time,
and tf is the fall time. Qcoll depends on the type of the ionizing particle,
trajectory, energy value, and impact location.
 Disrupt Tolerance (depicted in Fig.8)
 Recovery Time and Critical Charge(depicted in Fig.9)
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
16
Result: cont’d.
SEU Tolerance
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
17
Fig.8 Subsequent write-upset-read events, demonstrating quick cell recovery. The waveforms
were plotted for a 500-mV supply voltage with the particle energies of 1 pC.
Result: cont’d.
SEU Tolerance
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
18
Fig.9 Behavior of node Q under all possible SEUs with charge deposit of 500 fC
and VDD =500 mV. Insets: results of 1000 MC simulations.
Result: cont’d.
Layout Considerations
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
19
Fig.10 Bitcell layout
Result: cont’d.
 Implemented Bitcell
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
20
Fig.11 Full chip layout and the 13T cell memory array
Advantages and Limitations
 Advantages
Efficient and Ultra Low Power operation provides a stable and
reliable Space system.
Robust operation
High soft-error tolerance.
 Limitations
High area overhead
complex implementation
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
21
Conclusion:
 Here a 13T SRAM bitcell, designed for robust, low-voltage, ULP
operation in high-radiation environments, such as those encountered
by space applications was presented .
 A novel, robust and ULP operating Bitcell was defined for space
applications.
 High soft error tolerance bitcell described.
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
22
References:
 [1]Lior Atias, Robert Giterman, Student Member, IEEE, Adam Teman, Pascal
Meinerzhagen and Alexander Fish ,Member, IEEE. ”A Low-Voltage Radiation-
Hardened 13T SRAM Bitcell for Ultralow Power Space Applications”, IEEE
TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI)
SYSTEMS: REGULAR PAPERS,August-2016.
 [2] Adam Teman, A 250 mV 8 kb 40 nm Ultra-Low Power 9T Supply Feedback
SRAM (SFSRAM) in IEEE JOURNAL OF SOLID-STATE
CIRCUITS,VOL.46,NO.11,NOVEMBER 2011
 [3] Radiation Hardened Memories for Space Applications,Nadim F. Haddad,
Ronald D. Brown, Scott Doyle and Steven J. Wright BAE SYSTEMS 9300
Wellington Road, Manassas, VA 201 10 USA
 [4] Design Approaches for Radiation Hardening in Digital Circuits,Oliver
H¨oftberger,Institute of Computer Engineering Vienna University of Technology
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
23
11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications
24

More Related Content

What's hot

Serial Io
Serial IoSerial Io
Serial Io
Aisu
 
6.1. thermal oxidation 1,2.micro tech,2013
6.1. thermal oxidation 1,2.micro tech,20136.1. thermal oxidation 1,2.micro tech,2013
6.1. thermal oxidation 1,2.micro tech,2013
Bhargav Veepuri
 
Instruction set of 8085 Microprocessor By Er. Swapnil Kaware
Instruction set of 8085 Microprocessor By Er. Swapnil KawareInstruction set of 8085 Microprocessor By Er. Swapnil Kaware
Instruction set of 8085 Microprocessor By Er. Swapnil Kaware
Prof. Swapnil V. Kaware
 

What's hot (20)

Q-V characteristics of MOS Capacitor
Q-V characteristics of MOS CapacitorQ-V characteristics of MOS Capacitor
Q-V characteristics of MOS Capacitor
 
Silicon on Insulator (SOI) Technology
Silicon on Insulator (SOI) TechnologySilicon on Insulator (SOI) Technology
Silicon on Insulator (SOI) Technology
 
Serial Io
Serial IoSerial Io
Serial Io
 
MULTI Threshold
MULTI ThresholdMULTI Threshold
MULTI Threshold
 
io-esd
io-esdio-esd
io-esd
 
Optimized Local I/O ESD Protection for SerDes In Advanced SOI, BiCMOS and Fin...
Optimized Local I/O ESD Protection for SerDes In Advanced SOI, BiCMOS and Fin...Optimized Local I/O ESD Protection for SerDes In Advanced SOI, BiCMOS and Fin...
Optimized Local I/O ESD Protection for SerDes In Advanced SOI, BiCMOS and Fin...
 
Get it right the first time lpddr4 validation and compliance test
Get it right the first time lpddr4 validation and compliance testGet it right the first time lpddr4 validation and compliance test
Get it right the first time lpddr4 validation and compliance test
 
6.1. thermal oxidation 1,2.micro tech,2013
6.1. thermal oxidation 1,2.micro tech,20136.1. thermal oxidation 1,2.micro tech,2013
6.1. thermal oxidation 1,2.micro tech,2013
 
Fabrication of ic's
Fabrication of ic'sFabrication of ic's
Fabrication of ic's
 
新趨勢:Micro LED
新趨勢:Micro LED新趨勢:Micro LED
新趨勢:Micro LED
 
From Sand to Silicon“Making of a Chip”
From Sand to Silicon“Making of a Chip”From Sand to Silicon“Making of a Chip”
From Sand to Silicon“Making of a Chip”
 
Instruction set of 8085 Microprocessor By Er. Swapnil Kaware
Instruction set of 8085 Microprocessor By Er. Swapnil KawareInstruction set of 8085 Microprocessor By Er. Swapnil Kaware
Instruction set of 8085 Microprocessor By Er. Swapnil Kaware
 
8085 arithmetic instructions
8085 arithmetic instructions8085 arithmetic instructions
8085 arithmetic instructions
 
Ch13.pdf
Ch13.pdfCh13.pdf
Ch13.pdf
 
VLSI routing
VLSI routingVLSI routing
VLSI routing
 
Introductin sdh-pdh
Introductin sdh-pdhIntroductin sdh-pdh
Introductin sdh-pdh
 
Metallization
MetallizationMetallization
Metallization
 
Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢Ic 封裝新技術發展趨勢
Ic 封裝新技術發展趨勢
 
FPGA
FPGAFPGA
FPGA
 
vlsi fabrication
vlsi fabricationvlsi fabrication
vlsi fabrication
 

Similar to A low voltage radiation-hardened 13 t sram bitcell for ultralow

Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
IJECEIAES
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
IOSR Journals
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
Jie Song
 

Similar to A low voltage radiation-hardened 13 t sram bitcell for ultralow (20)

IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS TechnologyIRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
IRJET- Comparative Analysis of High Speed SRAM Cell for 90nm CMOS Technology
 
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTSFPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
FPGA IMPLEMENTATION OF LOW POWER SRAM BASED PROCESSOR IN 8T USING HETTS
 
30_Design.pdf
30_Design.pdf30_Design.pdf
30_Design.pdf
 
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm TechnologyIRJET-  	  Design of Energy Efficient 8T SRAM Cell at 90nm Technology
IRJET- Design of Energy Efficient 8T SRAM Cell at 90nm Technology
 
Ef31876879
Ef31876879Ef31876879
Ef31876879
 
Ef31876879
Ef31876879Ef31876879
Ef31876879
 
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power ApplicationsIRJET-  	  Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
IRJET- Low Voltage High Speed 8T SRAM Cell for Ultra-Low Power Applications
 
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...
 
MTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM CellMTJ-Based Nonvolatile 9T SRAM Cell
MTJ-Based Nonvolatile 9T SRAM Cell
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
Implementation of an Efficient SRAM for Ultra-Low Voltage Application Based o...
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
Kc2517811784
Kc2517811784Kc2517811784
Kc2517811784
 
10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report10T Dual-voltage Low Power SRAM Project Report
10T Dual-voltage Low Power SRAM Project Report
 
250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory250nm Technology Based Low Power SRAM Memory
250nm Technology Based Low Power SRAM Memory
 
Fj3110731078
Fj3110731078Fj3110731078
Fj3110731078
 
Iw2616951698
Iw2616951698Iw2616951698
Iw2616951698
 
Shape Memory Alloy Based Actuators - Technology Intro
Shape Memory Alloy Based Actuators - Technology Intro Shape Memory Alloy Based Actuators - Technology Intro
Shape Memory Alloy Based Actuators - Technology Intro
 
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled TechnologyStudy and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
Study and Analysis of Low Power SRAM Memory Array at nano-scaled Technology
 
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read OperationStatic-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operation
 

Recently uploaded

Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
?#DUbAI#??##{{(☎️+971_581248768%)**%*]'#abortion pills for sale in dubai@
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Safe Software
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
panagenda
 

Recently uploaded (20)

DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 AmsterdamDEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
DEV meet-up UiPath Document Understanding May 7 2024 Amsterdam
 
Exploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with MilvusExploring Multimodal Embeddings with Milvus
Exploring Multimodal Embeddings with Milvus
 
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
Biography Of Angeliki Cooney | Senior Vice President Life Sciences | Albany, ...
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024
 
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
Emergent Methods: Multi-lingual narrative tracking in the news - real-time ex...
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
Apidays New York 2024 - APIs in 2030: The Risk of Technological Sleepwalk by ...
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
 
Spring Boot vs Quarkus the ultimate battle - DevoxxUK
Spring Boot vs Quarkus the ultimate battle - DevoxxUKSpring Boot vs Quarkus the ultimate battle - DevoxxUK
Spring Boot vs Quarkus the ultimate battle - DevoxxUK
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
AWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of TerraformAWS Community Day CPH - Three problems of Terraform
AWS Community Day CPH - Three problems of Terraform
 
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWEREMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
EMPOWERMENT TECHNOLOGY GRADE 11 QUARTER 2 REVIEWER
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 

A low voltage radiation-hardened 13 t sram bitcell for ultralow

  • 1. A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Application Presented By Ananth Mahadev ERD18ECVE04 11/11/2018 A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 1 Guided by: Ms.Divya D S
  • 2. Overview  Introduction  Objective  Existing system  Literature survey Single-Event Upsets (SEUs) Standard SRAM under SEUs  Theory of 13T SRAM  Proposed 13T Radiation Tolerant Bitcell  Considerations in the Design  Results  Advantages and Limitations  Conclusion  References 11/11/2018 A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 2
  • 3. Introduction  What’s an SRAM(Static Random Access Memory)?  The memory circuit is said to be static if the stored data can be retained indefinitely, as long as the power supply is on, without any need for periodic refresh operation.  Volatile in nature  3 Operation states: Hold Write Read 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 3 Fig.1 A Typical 6T SRAM Bitcell
  • 4. Introduction(Cont’d)  SRAM is used in electronic systems in Space Technology i.e. for Satellite communications.  As the need for viable units are increasing, high density and speed requirements are to be improved for SRAM Bitcells 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 4 Fig.2 International Space Station
  • 5. Objective:  Here the solution for tackling the issue of Single Event Upsets(SEUs) in SRAM cells used for space applications will be demonstrated. The proposed radiation-hardened bitcell is a pioneer solution for embedded memories in low-power space applications. To Design a novel solution with high stability under varying voltage and process parameter variations ,with an outstanding advantage over the conventional 6T SRAM cell. To achieve High-radiation tolerance under scaled supply voltages, into the sub-threshold region are discussed. 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 5
  • 6. Existing System:  Higher Power consumption  More prone to radiation  Lower Durability of the system.  Employs 6T Bitcells with limited range of operating voltages. 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 6
  • 7. Literature Survey:  Researches to implement an Ultra Low Power ,Robust and efficient SRAM bitcell with High soft error tolerance and wide range of operating voltage are conducted.(Ref [2]) The existing 6T SRAM bitcell doesn’t meet these expectations completely  This work put forth a novel 13T SRAM bitcell meeting the above expectations(Ref. [1]) 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 7
  • 8. Literature Survey Single-Event Upsets (SEUs):  Soft errors or single-event upsets (SEUs) caused by radiation strikes are the primary causes of failure in VLSI circuits operating within a highly radiating environment.  Soft errors occur when an energetic particle hits and passes through a semiconductor material, potentially causing a bit flip in the memory cell.  SEUs and other similar single-event effects (SEEs) are often considered when designing for space applications and other high- radiation environments. 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 8
  • 9. Single-Event Upsets (SEUs) cont’d:  When the particle hits a reverse-biased p-n-junction, such as a transistor diffusion-bulk junction:  the injected charge is transported by drift and causes a transient current pulse that changes the node voltage,  Data loss occurs when the collected charge (Qcoll) exceeds the critical charge (Qcrit) that is stored in the sensitive node. 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 9
  • 10. Standard SRAM under SEUs 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 10 Fig.3 (a) Conventional 6T SRAM cell. (b) Example of an SRAM bit flip caused by an SEU.
  • 11. Theory of 13T SRAM: 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 11  The proposed bitcell is specially designed to enable robust, low- voltage, ULP operation in space applications and other high- radiation environment.  This is achieved by employing a dual-feedback, separated-feedback mechanism to overcome the increased vulnerability due to supply voltage scaling.  By driving the acute data level by a pair of equipotentially driven, but independent, inverters, a strong, dual-driven feedback mechanism is applied with node separation for SEU protection. This setup effectively protects Q from an upset, while achieving a high critical charge at node Q.
  • 12. Proposed 13T Radiation Tolerant Bitcell Fig.4 Proposed 13T SRAM bitcell 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 12
  • 13. Proposed 13T Radiation Tolerant Bitcell cont’d: Considerations in the design  Proposed design was depicted in Fig.4  The following steps are the path for designing the bitcell:  Bitcell Design  Storage Mechanism (Hold)(depicted in Fig.5)  Inherent SEU Tolerance The data are read out from node Q, such that any temporary upset on other nodes can be tolerated. The assisting nodes are designed with redundancy to ensure that any upset will be mitigated by the other nodes.  Write Operation(depicted in Fig.6)  Read and Half Select.(depicted in Fig.7) 11/11/2018 A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 13
  • 14. Proposed 13T Radiation Tolerant Bitcell cont’d: Considerations in the design 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 14 Fig.5 Storage states Fig.6 Write operation
  • 15. Proposed 13T Radiation Tolerant Bitcell cont’d: Considerations in the design 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 15 Fig.7 Half-select functionality for both, storing 1 (cell 1) and storing 0 (cell 2), cases.
  • 16. Result: SEU Tolerance  Disrupt Modeling  The transient current I(t) due to radiation on a pn junction is given by equation (1); I(t) = 𝑄𝑐𝑜𝑙𝑙 𝑡𝑓−𝑡𝑟 𝑒 − 𝑡 𝑡𝑓 − 𝑒 − 𝑡 𝑡𝑟 - (1)  where Qcoll is the charge collected due to the particle strike, tr is the rise time, and tf is the fall time. Qcoll depends on the type of the ionizing particle, trajectory, energy value, and impact location.  Disrupt Tolerance (depicted in Fig.8)  Recovery Time and Critical Charge(depicted in Fig.9) 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 16
  • 17. Result: cont’d. SEU Tolerance 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 17 Fig.8 Subsequent write-upset-read events, demonstrating quick cell recovery. The waveforms were plotted for a 500-mV supply voltage with the particle energies of 1 pC.
  • 18. Result: cont’d. SEU Tolerance 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 18 Fig.9 Behavior of node Q under all possible SEUs with charge deposit of 500 fC and VDD =500 mV. Insets: results of 1000 MC simulations.
  • 19. Result: cont’d. Layout Considerations 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 19 Fig.10 Bitcell layout
  • 20. Result: cont’d.  Implemented Bitcell 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 20 Fig.11 Full chip layout and the 13T cell memory array
  • 21. Advantages and Limitations  Advantages Efficient and Ultra Low Power operation provides a stable and reliable Space system. Robust operation High soft-error tolerance.  Limitations High area overhead complex implementation 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 21
  • 22. Conclusion:  Here a 13T SRAM bitcell, designed for robust, low-voltage, ULP operation in high-radiation environments, such as those encountered by space applications was presented .  A novel, robust and ULP operating Bitcell was defined for space applications.  High soft error tolerance bitcell described. 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 22
  • 23. References:  [1]Lior Atias, Robert Giterman, Student Member, IEEE, Adam Teman, Pascal Meinerzhagen and Alexander Fish ,Member, IEEE. ”A Low-Voltage Radiation- Hardened 13T SRAM Bitcell for Ultralow Power Space Applications”, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS: REGULAR PAPERS,August-2016.  [2] Adam Teman, A 250 mV 8 kb 40 nm Ultra-Low Power 9T Supply Feedback SRAM (SFSRAM) in IEEE JOURNAL OF SOLID-STATE CIRCUITS,VOL.46,NO.11,NOVEMBER 2011  [3] Radiation Hardened Memories for Space Applications,Nadim F. Haddad, Ronald D. Brown, Scott Doyle and Steven J. Wright BAE SYSTEMS 9300 Wellington Road, Manassas, VA 201 10 USA  [4] Design Approaches for Radiation Hardening in Digital Circuits,Oliver H¨oftberger,Institute of Computer Engineering Vienna University of Technology 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 23
  • 24. 11/11/2018A Low-Voltage Radiation-Hardened 13T SRAM Bitcell for Ultralow Power Space Applications 24