SlideShare a Scribd company logo
1 of 22
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 1
Digital Design Methodology (Revisited)
Design Methodology
Design Specification
Verification
Synthesis
Technology Options
Full Custom VLSI
Standard Cell ASIC
FPGA
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 2
Design Methodology: Big Picture
Design Specification
Design Partition
Design Entry
Behavioral Modeling
Simulation/Functional
Verification
Pre-Synthesis
Sign-Off
Synthesize and Map
Gate-level Net List
Postsynthesis
Design Validation
Postsynthesis
Timing Verification
Test Generation and
Fault Simulation
Cell Placement/Scan
Insertation/Routing
Verify Physical and
Electrical Rules
Synthesize and Map
Gate-level Net List
Design Integration
And Verification
Design Sign-Off
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 3
Design Specification
Written statement of functionality, timing, area,
power, testability, fault coverage, etc.
Functional specification methods:
State Transition Graphs
Timing Charts
Algorithm State Machines (like flowcharts)
HDLs (Verilog and VHDL)
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 4
Design Partition
Partition to form an Architecture
Interacting functional units
Control vs. datapath separation
Interconnection structures within datapath
Structural design descriptions
Components described by their behaviorals
Register-transfer descriptions
Top-down design method exploiting hierarchy and reuse of
design effort
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 5
Design Entry
Primary modern method: hardware description language
Higher productivity than schematic entry
Inherently easy to document
Easier to debug and correct
Easy to change/extend and hence experiment with alternative
architectures
Synthesis tools map description into generic technology
description
E.g., logic equations or gates that will subsequently be mapped into
detailed target technology
Allows this stage to be technology independent (e.g., FPGA LUTs or
ASIC standard cell libraries)
Behavioral descriptions are how it is done in industry today
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 6
Simulation and Functional Verification
Simulation vs. Formal Methods
Test Plan Development
What functions are to be tested and how
Testbench Development
Testing of independent modules
Testing of composed modules
Test Execution and Model Verification
Errors in design
Errors in description syntax
Ensure that the design can be synthesized
The model must be VERIFIED before the design methodology can
proceed
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 7
Design Integration and Verification
Integrate and test the individual components that
have been independently verified
Appropriate testbench development and integration
Extremely important step and one that is often the
source of the biggest problems
Individual modules thoroughly tested
Integration not as carefully tested
Bugs lurking in the interface behavior among modules!
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 8
Presynthesis Sign-off
Demonstrate full functionality of the design
Make sure that the behavior specification meets the
design specification
Does the demonstrated input/output behavior of the HDL
description represent that which is expected from the
original design specification
Sign-off only when all functional errors have been
eliminated
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 9
Gate-Level Synthesis and Technology
Mapping
Once all syntax and functional errors have been eliminated,
synthesize the design from the behavior description
Optimized Boolean description
Map onto target technology
Optimizations include
Minimize logic
Reduce area
Reduce power
Balance speed vs. other resources consumed
Produces netlist of standard cells or database to configure
target FPGA
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 10
Postsynthesis Design Validation
Does gate-level synthesized logic implement the same
input-output function as the HDL behavioral
description?
Verilog
Behavioral Desc
Gate-Level Desc
Logic
Synthesis
Stimulus
Generator
Testbench for Postsynthesis
Design Validation
Response
Comparator
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 11
Postsynthesis Timing Verification
Are the timing specifications met?
Are the speeds adequate on the critical paths?
Can’t accurately be determined until actual physical layout is
understood and analyzed—length of wires, relative placement
of sources and sinks, number of switch matrix crosspoints
traversed, etc.
Resynthesis may be required to achieve timing goals
Resize transistors
Modify architecture
Choose a different target device or technology
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 12
Test Generation and Fault Simulation
This is NOT about debugging the design!
Design should be correct at this stage, so …
Determine set of test vectors to test for inherent fabrication
flaws
Need a quick method to sort out the bad from the good chips
More exhaustive testing may be necessary for chips that pass the
first level
More relevant for ASIC design than FPGAs
Avoiding this step is one of the advantages of using the FPGA approach
Fault simulation is used to determine how complete are the test
vectors
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 13
Placement and Routing
ASIC Standard Cells
Select the cells and placement them on the mask
Interconnect the placed cells
Choose implementation scheme for critical signals
E.g., Clock distribution trees to minimize skew
Insert scan paths
FPGAs
Placing functions into particular CLBs/Slices and committing
interconnections to particular wires in the switch matrix
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 14
Physical and Electrical Design Rule Check
Applies to ASICs primarily
Are mask geometries correct to insure high probability of
successful fabrication?
Fan-outs correct? Crosstalk signals within specification?
Current drops within specification? Noise levels ok? Power
dissipation acceptable?
Many of these issues are not significant at a chip level
for an FPGA but may be an issue for the system that
incorporates the FPGA
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 15
Parasitic Extraction
Extract geometric information from design to
determine capacitance
Yields a much more realistic model of signal
performance and delay
Are the speed (timing) and power goals of the design
still met?
Could trigger another redesign/resythesize cycle if
not met
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 16
Design Sign-off
All design constraints have been met
Timing specifications have been met
Mask set ready for fabrication
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 17
SIA Roadmap—Technology Trends
Transistor
Gate Length
Transistors
per cm2
Chip Size
1999
0.14 µm
14 million
800 mm2
2001
0.12 µm
16 million
850 mm2
2003
0.10 µm
24 million
900 mm2
2006
0.07 µm
40 million
1000 mm2
2009
0.05 µm
64 million
1100 mm2
2012
0.035 µm
100 million
1300 mm2
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 18
Alternative Technologies
Standard Chips
Commonly used logic functions
Small amount of circuitry, order 100 transistors
Popular through the early 1980s
Programmable Logic Devices
Generalized structure with programmable switches to allow
(re)configuration in many different ways
PALs, PLAs, FPGAs
FPGAs go up 10+ million transistors
Widely used today
Custom-Designed Chips
Semi-custom: Gate Arrays, Standard Cells
Full-custom
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 19
Comparison of Implementation
Technologies
Full Custom Chips
Largest number of logic gates and highest speed
Microprocessors and memory chips
Created from scratch as a custom layout
Significant design effort and design time
Standard Cell (ASIC) Variation
Gate arrays: prefab’d gates and routing channels
Can be stockpiled
Customization comes from completing the wiring layer
Library cells: predesigned logic, custom placed and routed
All process layers are fabricated for a given design
Design time is accelerated, but implementation time is still slow
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 20
Comparison of Implementation Technologies
Field Programmable Gate Arrays
Combines advantages of ASIC density with fast
implementation process
Nature of the programmable interconnect leads to slower
performing designs than that possible with other approaches
Appropriate for prototyping, where speed to implementation
is the key factor (CS 150!)
Or where density is important but the unit volumes are not
large enough to justify the design effort and costs
associated with custom-designed approaches
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 21
Alternative Technologies for IC
Implementation
Market Volume
to Amortize
Time to
Prototype
Nonrecurring engineering cost
Process Complexity
Density, speed, complexity
PLDs
FGPAs
Gate Arrays
Standard
Cells
Full Custom
IC
CS 150 – Fall 2005 - Lec #25 –
Design Methodology – 22
Die Photos: Vertex vs. Pentium IV
FGPA Vertex chip looks remarkably well structured
Very dense, very regular structure
Full Custom Pentium chip somewhat more random in
structure
Large on-chip memories (caches) are visible

More Related Content

What's hot

Code Management Workshop
Code Management WorkshopCode Management Workshop
Code Management WorkshopSameh El-Ashry
 
Validation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environmentValidation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environmentObsidian Software
 
Stinson post si and verification
Stinson post si and verificationStinson post si and verification
Stinson post si and verificationObsidian Software
 
Rahul_Ramani_Profile
Rahul_Ramani_ProfileRahul_Ramani_Profile
Rahul_Ramani_ProfileRahul Ramani
 
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Sameh El-Ashry
 
10_years_Experience_in_Automation
10_years_Experience_in_Automation10_years_Experience_in_Automation
10_years_Experience_in_AutomationArpita Gohel
 
Nishar resume
Nishar resumeNishar resume
Nishar resumeMD NISHAR
 
3.Joyluke_resume
3.Joyluke_resume3.Joyluke_resume
3.Joyluke_resumeJOYLUKE J A
 
SystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification MethodologiesSystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification MethodologiesRamdas Mozhikunnath
 
Chipions session 2021 - VLSI career
Chipions session 2021 - VLSI careerChipions session 2021 - VLSI career
Chipions session 2021 - VLSI careerSameh El-Ashry
 
CV Ranan Fraer Apr 2016
CV Ranan Fraer Apr 2016CV Ranan Fraer Apr 2016
CV Ranan Fraer Apr 2016Ranan Fraer
 
A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design chiportal
 
The Cortex-A15 Verification Story
The Cortex-A15 Verification StoryThe Cortex-A15 Verification Story
The Cortex-A15 Verification StoryDVClub
 
Resume_VenkataRakeshGudipalli Master - Copy
Resume_VenkataRakeshGudipalli Master - CopyResume_VenkataRakeshGudipalli Master - Copy
Resume_VenkataRakeshGudipalli Master - CopyVenkata Rakesh Gudipalli
 
Nishar_Resume
Nishar_ResumeNishar_Resume
Nishar_ResumeMD NISHAR
 
Mastering FPGA Design through Debug, Adrian Hernandez, Xilinx
Mastering FPGA Design through Debug, Adrian Hernandez, XilinxMastering FPGA Design through Debug, Adrian Hernandez, Xilinx
Mastering FPGA Design through Debug, Adrian Hernandez, XilinxFPGA Central
 

What's hot (20)

Code Management Workshop
Code Management WorkshopCode Management Workshop
Code Management Workshop
 
Validation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environmentValidation and-design-in-a-small-team-environment
Validation and-design-in-a-small-team-environment
 
Stinson post si and verification
Stinson post si and verificationStinson post si and verification
Stinson post si and verification
 
Sharam salamian
Sharam salamianSharam salamian
Sharam salamian
 
Rahul_Ramani_Profile
Rahul_Ramani_ProfileRahul_Ramani_Profile
Rahul_Ramani_Profile
 
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
Efficient Methodology of Sampling UVM RAL During Simulation for SoC Functiona...
 
10_years_Experience_in_Automation
10_years_Experience_in_Automation10_years_Experience_in_Automation
10_years_Experience_in_Automation
 
Nishar resume
Nishar resumeNishar resume
Nishar resume
 
3.Joyluke_resume
3.Joyluke_resume3.Joyluke_resume
3.Joyluke_resume
 
SystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification MethodologiesSystemVerilog based OVM and UVM Verification Methodologies
SystemVerilog based OVM and UVM Verification Methodologies
 
Chipions session 2021 - VLSI career
Chipions session 2021 - VLSI careerChipions session 2021 - VLSI career
Chipions session 2021 - VLSI career
 
CV Ranan Fraer Apr 2016
CV Ranan Fraer Apr 2016CV Ranan Fraer Apr 2016
CV Ranan Fraer Apr 2016
 
A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design A comprehensive formal verification solution for ARM based SOC design
A comprehensive formal verification solution for ARM based SOC design
 
Bangalore march07
Bangalore march07Bangalore march07
Bangalore march07
 
The Cortex-A15 Verification Story
The Cortex-A15 Verification StoryThe Cortex-A15 Verification Story
The Cortex-A15 Verification Story
 
Resume_VenkataRakeshGudipalli Master - Copy
Resume_VenkataRakeshGudipalli Master - CopyResume_VenkataRakeshGudipalli Master - Copy
Resume_VenkataRakeshGudipalli Master - Copy
 
Zehr dv club_12052006
Zehr dv club_12052006Zehr dv club_12052006
Zehr dv club_12052006
 
Nishar_Resume
Nishar_ResumeNishar_Resume
Nishar_Resume
 
Shivani_Saklani
Shivani_SaklaniShivani_Saklani
Shivani_Saklani
 
Mastering FPGA Design through Debug, Adrian Hernandez, Xilinx
Mastering FPGA Design through Debug, Adrian Hernandez, XilinxMastering FPGA Design through Debug, Adrian Hernandez, Xilinx
Mastering FPGA Design through Debug, Adrian Hernandez, Xilinx
 

Similar to Design method

Space Codesign CMC Microsystems Webinar 20150205 unrolled
Space Codesign CMC Microsystems Webinar 20150205 unrolledSpace Codesign CMC Microsystems Webinar 20150205 unrolled
Space Codesign CMC Microsystems Webinar 20150205 unrolledSpace Codesign
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design MethodologiesKeshav
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)Sudhanshu Janwadkar
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01khalid noman husainy
 
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Alessio Bucaioni
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptmonzhalabs
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitSWINDONSilicon
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...NECST Lab @ Politecnico di Milano
 
JD Role Type Slides - 12.2022.pdf
JD Role Type Slides - 12.2022.pdfJD Role Type Slides - 12.2022.pdf
JD Role Type Slides - 12.2022.pdfPallavBishi1
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Toolsvenkatasuman1983
 
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case StudyTraditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case StudyAltera Corporation
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOWPurvi Medawala
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt8885684828
 
resume_jason_v1
resume_jason_v1resume_jason_v1
resume_jason_v1Jason Chang
 
RTCA DO-254 Guidance - Accelerating DO-254 Verification
RTCA DO-254 Guidance - Accelerating DO-254 VerificationRTCA DO-254 Guidance - Accelerating DO-254 Verification
RTCA DO-254 Guidance - Accelerating DO-254 VerificationTarek Salah
 
Lear unified env_paper-1
Lear unified env_paper-1Lear unified env_paper-1
Lear unified env_paper-1Obsidian Software
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryDeepak Shankar
 

Similar to Design method (20)

Space Codesign CMC Microsystems Webinar 20150205 unrolled
Space Codesign CMC Microsystems Webinar 20150205 unrolledSpace Codesign CMC Microsystems Webinar 20150205 unrolled
Space Codesign CMC Microsystems Webinar 20150205 unrolled
 
VLSI Design Methodologies
VLSI Design MethodologiesVLSI Design Methodologies
VLSI Design Methodologies
 
ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
17MNPU_49_1_08
17MNPU_49_1_0817MNPU_49_1_08
17MNPU_49_1_08
 
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
High speed-pcb-board-design-and-analysiscadence-130218085524-phpapp01
 
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
Anticipating Implementation-Level Timing Analysis for Driving Design-Level De...
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.ppt
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...
 
JD Role Type Slides - 12.2022.pdf
JD Role Type Slides - 12.2022.pdfJD Role Type Slides - 12.2022.pdf
JD Role Type Slides - 12.2022.pdf
 
Lec13
Lec13Lec13
Lec13
 
Introduction to EDA Tools
Introduction to EDA ToolsIntroduction to EDA Tools
Introduction to EDA Tools
 
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case StudyTraditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
Traditional vs. SoC FPGA Design Flow A Video Pipeline Case Study
 
Physical Design Services
Physical Design ServicesPhysical Design Services
Physical Design Services
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
ASCIC.ppt
ASCIC.pptASCIC.ppt
ASCIC.ppt
 
resume_jason_v1
resume_jason_v1resume_jason_v1
resume_jason_v1
 
RTCA DO-254 Guidance - Accelerating DO-254 Verification
RTCA DO-254 Guidance - Accelerating DO-254 VerificationRTCA DO-254 Guidance - Accelerating DO-254 Verification
RTCA DO-254 Guidance - Accelerating DO-254 Verification
 
Lear unified env_paper-1
Lear unified env_paper-1Lear unified env_paper-1
Lear unified env_paper-1
 
Mirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP LibraryMirabilis_Design AMD Versal System-Level IP Library
Mirabilis_Design AMD Versal System-Level IP Library
 

Recently uploaded

Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Educationpboyjonauth
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxOH TEIK BIN
 
MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docxPoojaSen20
 
Separation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesSeparation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesFatimaKhan178732
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxNirmalaLoungPoorunde1
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxmanuelaromero2013
 
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting DataJhengPantaleon
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdfSoniaTolstoy
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeThiyagu K
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptxVS Mahajan Coaching Centre
 
URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppCeline George
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsanshu789521
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdfssuser54595a
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionSafetyChain Software
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Sapana Sha
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)eniolaolutunde
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfsanyamsingh5019
 

Recently uploaded (20)

Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝Model Call Girl in Bikash Puri  Delhi reach out to us at 🔝9953056974🔝
Model Call Girl in Bikash Puri Delhi reach out to us at 🔝9953056974🔝
 
Introduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher EducationIntroduction to ArtificiaI Intelligence in Higher Education
Introduction to ArtificiaI Intelligence in Higher Education
 
Solving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptxSolving Puzzles Benefits Everyone (English).pptx
Solving Puzzles Benefits Everyone (English).pptx
 
MENTAL STATUS EXAMINATION format.docx
MENTAL     STATUS EXAMINATION format.docxMENTAL     STATUS EXAMINATION format.docx
MENTAL STATUS EXAMINATION format.docx
 
Separation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and ActinidesSeparation of Lanthanides/ Lanthanides and Actinides
Separation of Lanthanides/ Lanthanides and Actinides
 
Employee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptxEmployee wellbeing at the workplace.pptx
Employee wellbeing at the workplace.pptx
 
How to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptxHow to Make a Pirate ship Primary Education.pptx
How to Make a Pirate ship Primary Education.pptx
 
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data_Math 4-Q4 Week 5.pptx Steps in Collecting Data
_Math 4-Q4 Week 5.pptx Steps in Collecting Data
 
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdfBASLIQ CURRENT LOOKBOOK  LOOKBOOK(1) (1).pdf
BASLIQ CURRENT LOOKBOOK LOOKBOOK(1) (1).pdf
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
CĂłdigo Creativo y Arte de Software | Unidad 1
CĂłdigo Creativo y Arte de Software | Unidad 1CĂłdigo Creativo y Arte de Software | Unidad 1
CĂłdigo Creativo y Arte de Software | Unidad 1
 
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions  for the students and aspirants of Chemistry12th.pptxOrganic Name Reactions  for the students and aspirants of Chemistry12th.pptx
Organic Name Reactions for the students and aspirants of Chemistry12th.pptx
 
URLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website AppURLs and Routing in the Odoo 17 Website App
URLs and Routing in the Odoo 17 Website App
 
Presiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha electionsPresiding Officer Training module 2024 lok sabha elections
Presiding Officer Training module 2024 lok sabha elections
 
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdfTataKelola dan KamSiber Kecerdasan Buatan v022.pdf
TataKelola dan KamSiber Kecerdasan Buatan v022.pdf
 
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
18-04-UA_REPORT_MEDIALITERAСY_INDEX-DM_23-1-final-eng.pdf
 
Mastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory InspectionMastering the Unannounced Regulatory Inspection
Mastering the Unannounced Regulatory Inspection
 
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111Call Girls in Dwarka Mor Delhi Contact Us 9654467111
Call Girls in Dwarka Mor Delhi Contact Us 9654467111
 
Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)Software Engineering Methodologies (overview)
Software Engineering Methodologies (overview)
 
Sanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdfSanyam Choudhary Chemistry practical.pdf
Sanyam Choudhary Chemistry practical.pdf
 

Design method

  • 1. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 1 Digital Design Methodology (Revisited) Design Methodology Design Specification Verification Synthesis Technology Options Full Custom VLSI Standard Cell ASIC FPGA
  • 2. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 2 Design Methodology: Big Picture Design Specification Design Partition Design Entry Behavioral Modeling Simulation/Functional Verification Pre-Synthesis Sign-Off Synthesize and Map Gate-level Net List Postsynthesis Design Validation Postsynthesis Timing Verification Test Generation and Fault Simulation Cell Placement/Scan Insertation/Routing Verify Physical and Electrical Rules Synthesize and Map Gate-level Net List Design Integration And Verification Design Sign-Off
  • 3. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 3 Design Specification Written statement of functionality, timing, area, power, testability, fault coverage, etc. Functional specification methods: State Transition Graphs Timing Charts Algorithm State Machines (like flowcharts) HDLs (Verilog and VHDL)
  • 4. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 4 Design Partition Partition to form an Architecture Interacting functional units Control vs. datapath separation Interconnection structures within datapath Structural design descriptions Components described by their behaviorals Register-transfer descriptions Top-down design method exploiting hierarchy and reuse of design effort
  • 5. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 5 Design Entry Primary modern method: hardware description language Higher productivity than schematic entry Inherently easy to document Easier to debug and correct Easy to change/extend and hence experiment with alternative architectures Synthesis tools map description into generic technology description E.g., logic equations or gates that will subsequently be mapped into detailed target technology Allows this stage to be technology independent (e.g., FPGA LUTs or ASIC standard cell libraries) Behavioral descriptions are how it is done in industry today
  • 6. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 6 Simulation and Functional Verification Simulation vs. Formal Methods Test Plan Development What functions are to be tested and how Testbench Development Testing of independent modules Testing of composed modules Test Execution and Model Verification Errors in design Errors in description syntax Ensure that the design can be synthesized The model must be VERIFIED before the design methodology can proceed
  • 7. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 7 Design Integration and Verification Integrate and test the individual components that have been independently verified Appropriate testbench development and integration Extremely important step and one that is often the source of the biggest problems Individual modules thoroughly tested Integration not as carefully tested Bugs lurking in the interface behavior among modules!
  • 8. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 8 Presynthesis Sign-off Demonstrate full functionality of the design Make sure that the behavior specification meets the design specification Does the demonstrated input/output behavior of the HDL description represent that which is expected from the original design specification Sign-off only when all functional errors have been eliminated
  • 9. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 9 Gate-Level Synthesis and Technology Mapping Once all syntax and functional errors have been eliminated, synthesize the design from the behavior description Optimized Boolean description Map onto target technology Optimizations include Minimize logic Reduce area Reduce power Balance speed vs. other resources consumed Produces netlist of standard cells or database to configure target FPGA
  • 10. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 10 Postsynthesis Design Validation Does gate-level synthesized logic implement the same input-output function as the HDL behavioral description? Verilog Behavioral Desc Gate-Level Desc Logic Synthesis Stimulus Generator Testbench for Postsynthesis Design Validation Response Comparator
  • 11. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 11 Postsynthesis Timing Verification Are the timing specifications met? Are the speeds adequate on the critical paths? Can’t accurately be determined until actual physical layout is understood and analyzed—length of wires, relative placement of sources and sinks, number of switch matrix crosspoints traversed, etc. Resynthesis may be required to achieve timing goals Resize transistors Modify architecture Choose a different target device or technology
  • 12. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 12 Test Generation and Fault Simulation This is NOT about debugging the design! Design should be correct at this stage, so … Determine set of test vectors to test for inherent fabrication flaws Need a quick method to sort out the bad from the good chips More exhaustive testing may be necessary for chips that pass the first level More relevant for ASIC design than FPGAs Avoiding this step is one of the advantages of using the FPGA approach Fault simulation is used to determine how complete are the test vectors
  • 13. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 13 Placement and Routing ASIC Standard Cells Select the cells and placement them on the mask Interconnect the placed cells Choose implementation scheme for critical signals E.g., Clock distribution trees to minimize skew Insert scan paths FPGAs Placing functions into particular CLBs/Slices and committing interconnections to particular wires in the switch matrix
  • 14. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 14 Physical and Electrical Design Rule Check Applies to ASICs primarily Are mask geometries correct to insure high probability of successful fabrication? Fan-outs correct? Crosstalk signals within specification? Current drops within specification? Noise levels ok? Power dissipation acceptable? Many of these issues are not significant at a chip level for an FPGA but may be an issue for the system that incorporates the FPGA
  • 15. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 15 Parasitic Extraction Extract geometric information from design to determine capacitance Yields a much more realistic model of signal performance and delay Are the speed (timing) and power goals of the design still met? Could trigger another redesign/resythesize cycle if not met
  • 16. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 16 Design Sign-off All design constraints have been met Timing specifications have been met Mask set ready for fabrication
  • 17. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 17 SIA Roadmap—Technology Trends Transistor Gate Length Transistors per cm2 Chip Size 1999 0.14 µm 14 million 800 mm2 2001 0.12 µm 16 million 850 mm2 2003 0.10 µm 24 million 900 mm2 2006 0.07 µm 40 million 1000 mm2 2009 0.05 µm 64 million 1100 mm2 2012 0.035 µm 100 million 1300 mm2
  • 18. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 18 Alternative Technologies Standard Chips Commonly used logic functions Small amount of circuitry, order 100 transistors Popular through the early 1980s Programmable Logic Devices Generalized structure with programmable switches to allow (re)configuration in many different ways PALs, PLAs, FPGAs FPGAs go up 10+ million transistors Widely used today Custom-Designed Chips Semi-custom: Gate Arrays, Standard Cells Full-custom
  • 19. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 19 Comparison of Implementation Technologies Full Custom Chips Largest number of logic gates and highest speed Microprocessors and memory chips Created from scratch as a custom layout Significant design effort and design time Standard Cell (ASIC) Variation Gate arrays: prefab’d gates and routing channels Can be stockpiled Customization comes from completing the wiring layer Library cells: predesigned logic, custom placed and routed All process layers are fabricated for a given design Design time is accelerated, but implementation time is still slow
  • 20. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 20 Comparison of Implementation Technologies Field Programmable Gate Arrays Combines advantages of ASIC density with fast implementation process Nature of the programmable interconnect leads to slower performing designs than that possible with other approaches Appropriate for prototyping, where speed to implementation is the key factor (CS 150!) Or where density is important but the unit volumes are not large enough to justify the design effort and costs associated with custom-designed approaches
  • 21. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 21 Alternative Technologies for IC Implementation Market Volume to Amortize Time to Prototype Nonrecurring engineering cost Process Complexity Density, speed, complexity PLDs FGPAs Gate Arrays Standard Cells Full Custom IC
  • 22. CS 150 – Fall 2005 - Lec #25 – Design Methodology – 22 Die Photos: Vertex vs. Pentium IV FGPA Vertex chip looks remarkably well structured Very dense, very regular structure Full Custom Pentium chip somewhat more random in structure Large on-chip memories (caches) are visible