SlideShare a Scribd company logo
1 of 26
Download to read offline
A Comprehensive Formal Verification
Solution for ARM® Processor Based SoC
                Design
Laurent Arditi, PhD – ARM Formal Verification Expert

Ziyad Hanna, PhD – Jasper VP of Research & Chief Architect




                              May 2, 2012	
                  1
Jasper Provides Verification Solutions to
    IP and System-on-chip Designs
     Property  Synthesis
                                                                 Formal  Property  Verifica8on
       Automated  asser0on  genera0on
                                                     Protocol  cer0fica0on
       Iden0fica0on  of  coverage  holes
                                                   End-­‐to-­‐end  packet  integrity
       Inference  and  synthesis  of  func0onal  proper0es                                 Asynchronous  clocking  effects  
        from  RTL  and  simula0on  waveforms
                                               Asser0on-­‐based  verifica0on

                                                                                                        RTL  Development
               Verifica8on  IP
                                                                            Designer-­‐based  verifica0on  w/o  testbench
                 Cer0fica0on  of  AMBA  4/ACE  checkers
                                                  Design  trade-­‐off  analysis
                 Popular  standard  protocols
                                                           X-­‐propaga0on  detec0on  and  debug
                 Configurable,  illustra0ve,  op0mized  for  formal
                                      Power  management  verifica0on


           Architecture  Valida8on
                        Post-­‐Silicon  Debug
                                      SoC  Integra8on
             Executable  spec
                              Failure  signature  matching
                              Automated  register  verifica0on
             Absence  of  deadlock
                         Root  cause  isola0on
                                     Glitch  detec0on
             Cache  coherency
                              Candidate  cause  elimina0on
                              Mul0-­‐cycle  path  verifica0on
                                                             Valida0on  of  fixes  before  re-­‐spin
                    Chip-­‐level  connec0vity




     Higher  Capacity
                       Interac8ve  Debug                   Increased  Throughput                        Wider  Deployment  
Verify  complex  100M  gate              Modify/create  proper0es  on             U0lize  mul0ple  proof                       Proliferate  across  
          designs
                        the  fly  to  explore  design         engines  on  parallel  compute               engineering  teams  with  
              
                                     behavior
                            resources
                         unique  adop0on  model




Page 2 | © 2012, Jasper Design Automation | Confidential
                                                                  May 2, 2012	
                                                                              2
Customers

                         Ericsson - A world of communication - Ericsson




                                                Apple

                              WELCOME TO ERICSSON
                                                                           Sony

                                                                                  SMI
                                                                           AMCC

Page 3 | © 2012, Jasper Design Automation | Confidential
                                                           May 2, 2012	
                3
Agenda

  IP Level Formal Verification at ARM
  System Level Verification of ARM® processor based
       SoC




Page 4 | © 2012, Jasper Design Automation | Confidential
                                                           May 2, 2012	
   4
ARM Cortex-R7 Formal Verification with
Jasper
  The ARM formal verification flow based on Jasper
       has been found to have capacity to support the
       verification of a Cortex-R series real-time processor
  Setup
        •    All the formal verification tasks for the ARM Cortex-R7
             are applied at the top-level
        •    The top-level constraints are “simple”
        •    AXI protocol checkers
        •    Models of RAMs only where needed (mostly cache
             tags): CAMs with additional constraints to start from a
             non-empty RAM content
        •    A few assumptions to avoid fails due to software errors




Page 5 | © 2012, Jasper Design Automation | Confidential
                                                           May 2, 2012	
   5
Trial ARM Formal Verification Flow
                                                                                        waveforms

                                                                  RTL
              design team

                                                               properties
                                                                                        JasperGold
                                                                 setup
           validation team                                    constraints
                                                              abstractions


                                                                                          report

                leads &
               managers
                                                                    email       Excel    ValSpider   Jira


Trial deployment on several blocks and units, with differing design size.

   Page 6 | © 2012, Jasper Design Automation | Confidential
                                                                May 2, 2012	
                               6
Formal for RTL Development - RTLD
  Designer-based verification w/o testbench
     •    Allows early RTL exploration without the need to generate input stimulus
     •    Start with simple behaviors about the design
             –  cover line_eop

     •    Group simple behaviors together to build complex scenarios
     •    Write assertions about events that are always/never true

  Design trade-off analysis
     •    Behaviors and scenarios allow for easy incremental analysis and RTL
          comparison tasks

  Higher quality RTL passed to other teams in the design/verification flow



 Page 7 | © 2012, Jasper Design Automation | Confidential
                                                            May 2, 2012	
            7
Jasper Flow for RTL Designers*
                                                                                    What-if analysis
                                      Visualize design
                                      behavior w/o testbench
         RTL


                                    Debug failing
                                       scenarios
                                                 Functional scenario A :
                                                 assertion 5 violation
                                                 Functional scenario B :
                                                 assertion 7 violation                      Combine and save
                                                 Functional scenario C……                    multiple functional
                                                 Functional scenario D…..
                                                                                            scenarios



                                                                                                   Scenario A
                                                           Compare saved                           Scenario B
         RTL’                                                 scenarios                            Scenario C
                                                                                      Database
                                                           against modified                        Scenario D
                                                                 RTL

Modified RTL
                                                                                             (*Partially used at ARM)
Page 8 | © 2012, Jasper Design Automation | Confidential
                                                                    May 2, 2012	
                                  8
Jasper’s  Visualize  Technology
                                                       Simula0on
                                                    Visualize
   RTL
                                                Waveform
                RTL
                                 Waveform

                        Simulator
                                                      VisualizeTM

Testbench
                                                     state  ==  READ
                                                     ack  =  1
                                                                                        state  ==  READ
                                                                                        ack  =  1
                                                                                                           Target  is  always  in  the  
                                                                                         Target
           waveform

   Simula0on
         •    More  of  an  ‘input  driven’  method,  may  not  exercise  desired  behavior
         •    Wiggle  the  inputs  to  produce  a  desired  behavior  (trial  and  error)
   Visualize
         •    More  of  an  ‘output  driven’  method  and  u0lizes  formal  engines
         •    QuietTraceTM  minimizes  inputs  and  s0ll  produces  desired  behavior
         •    Interac0vely  add  constraints  to  construct  desired  waveform


 Page 9 | © 2012, Jasper Design Automation | Confidential
                                                                        May 2, 2012	
                                                 9
ARM Experience
Laurent Arditi, Principal Engineer, Processor Division, Jasper User Group 2011

     Some simulation test benches were not ready soon enough to run
          the first RTL modules with new features

     So used FV to check these new features
     Use of basic properties to check the RTL is not completely broken
     Use of visualize to show the design is alive and the new features “do
          something” not stupid

     It’s much faster to get a working formal setup than a simulation one
     And designers find formal counter-examples to be easier to debug
          than simulation failures

   Page 10 | © 2012, Jasper Design Automation | Confidential
                                                               May 2, 2012	
   10
ARM’s Assertion Based Design with
JasperGold
  Assertions were written for both simulation and formal
  Strong but simple SVA coding guidelines, for the ARM Cortex-R7:
        •    Avoid non-synthetizable properties (but liveness is accepted)

        •    Maximize the use of implications to get coverage points for free

        •    Software constraints turned into assumes for formal
        •    Critical properties on which a higher effort must be put

  X-Propagation checks
  Depending on the configuration, end-up with thousands of
       properties


Page 11 | © 2012, Jasper Design Automation | Confidential
                                                            May 2, 2012	
       11
Formal Verification Dashboard
1600
       Properties                                                                              18%

1400         Proven                                                                                           % fail
                                                                                               16%
             Fail
1200                                                                                           14%            % unreachable
             Undetermined
                                                                                               12%
1000
                                                                                                              Poly. (% fail)
                                                                                               10%
                                                                                                              Poly. (% unreachable)
800
                                                                                               8%


                                                                                               6%
600

                                                                                               4%
400
                                                                                               2%


200                                                                                            0%



   0
       4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 2 4 6 8 10 12




                               beta                                              EAC                   beta                    EAC




 Page 12 | © 2012, Jasper Design Automation | Confidential
                                                                                       May 2, 2012	
                                  12
JasperGold Found 15% of The Bugs
  Formal found many bugs at the start of the project. They were not tracked
  Started to count the assertion fails in Jan’11, and in Jira in July’11 (beta)
  0.18
                                            % fail
  0.16


  0.14


  0.12


   0.1


  0.08


  0.06


  0.04


  0.02


    0




    Page 13 | © 2012, Jasper Design Automation | Confidential
                                                                May 2, 2012	
      13
Quality of bugs found by JasperGold
      All bugs found by formal were not found earlier by simulation
      Very few false-negatives
        •    They could be resolved by adding new constraints

        •    A few remaining are UNPREDICTABLE cases and the constraints to discard them are too
             complex to write. So these fails are “explained” and skipped

      Formal provides easy to debug waveforms

      Quality of the bugs found by formal:
        •    Very good at the beginning: obvious design errors

        •    Real corner cases

      Assertions are usually simple. More sequential ones would find more complex bugs

      Higher-level properties would allow to discover more fundamental bugs: deadlock,
       coherency, determinism. Planned for maturity



Page 14 | © 2012, Jasper Design Automation | Confidential
                                                            May 2, 2012	
                          14
Agenda

  IP Level Formal Verification at ARM
  System Level Verification of ARM processor based
       SoC




Page 15 | © 2012, Jasper Design Automation | Confidential
                                                            May 2, 2012	
   15
ARM Based Heterogeneous System-on-Chip
                GIC-400                                     ARM                       Video        LCD
                                                      Mali-T604         I/O
                                                      graphics         device
        ARM                     ARM                                                  Network Interconnect
     Quad                     Quad                                                        NIC-400
   Cortex-A15               Cortex-A7


                                                     MMU-400          MMU-400       MMU-400



                                          Cache Coherent Interconnect
                                                  CCI-400




                Dynamic Memory Controller                                  Network Interconnect
                        DMC-400                                                 NIC-400
                 PHY                                    PHY



        DDR3/LPDDR2                            DDR3/LPDDR2                 Slaves      Slaves
                                                                                                         JUG-2011 Paul Martin
                                                                                                         paul.martin@arm.com



Page 16 | © 2012, Jasper Design Automation | Confidential
                                                                  May 2, 2012	
                                             16
SoC Integration and Verification
Challenges
  Protocol Modeling and Verification, Coherency
  Standard Interface Modeling and Verification (ProofKits)
  System Level Deadlocks Detection and Verification
  Connectivity and Integration
  Register programming sequence
  Power analysis and verification
  Security checks



Page 17 | © 2012, Jasper Design Automation | Confidential
                                                            May 2, 2012	
   17
ACE Verification – High-level Properties

  Coherence
        •    If a master s cache has a line in UD or UC, no other master can
             have the line in a valid state
        •    If a master s cache has a line in SD, no other cache master can
             have the line in SD

  Deadlock
        •    At least one transaction can always make forward progress

  Data integrity
        •    A read always reads the last write to an address



Page 18 | © 2012, Jasper Design Automation | Confidential
                                                            May 2, 2012	
      18
Jasper Architectural Validation Flow
                                                                Automatic Generation of           
                                                                SV Model and Properties           Architectural proofs
         Arch spec.                                                                               • Consistency
                                                                                                  • Completeness
                                                                                                  • E.g., coherency property




                                                                                                          Architectural
                                                                                                           waveforms
                                                                                                       without testbench


Table-­‐based  entry  format
         (or  Murphi)


                                                                          Architectural requirements



            RTL                                  Executable                  Export properties               RTL formal
                                               document view                to RTL simulation                verification

    Page 19 | © 2012, Jasper Design Automation | Confidential
                                                                  May 2, 2012	
                                                19
Advantages
  Verify architectural rules – cache coherence, deadlock
       freedom

  Find corner case bugs – deadlocks, coherence issues
  Validate future protocol changes
  Remove specification ambiguities
  Downstream usage as VIP – checks + coverage model




Page 20 | © 2012, Jasper Design Automation | Confidential
                                                            May 2, 2012	
   20
ACE Protocol Modeling and Verification
With Jasper
                 “Verifying cache coherent systems is difficult and designers need
                                         sophisticated VIP to help solve these issues”


      “ARM partners with EDA companies like Jasper to ensure our SiP’s are
          enabled to take advantage of improved system performance and power
                                                                                  JUG-2011 – Paul Martin
                                                            provided by AMBA 4”   paul.martin@arm.com




Page 21 | © 2012, Jasper Design Automation | Confidential
                                                               May 2, 2012	
                               21
Chip-Level Connectivity Verification Solution
  Exhaustively verifies that the RTL matches the connectivity definition
       •    Verify that point A is equivalent to point B (block or chip level)
            as certain signals/modes can impact connections
       •    No other signals/modes/settings can impact connections
       •    Important aspect of system integration of many IP’s
  Types of connection
           Structural, Boolean condition, temporal condition, and temporal
            connection with latency and delay

  Allow fast and exhaustive verification
           Quickly reconfirm results (regressions) as RTL is being modified
           Automated flow allows early and frequent verification

 Page 22 | © 2012, Jasper Design Automation | Confidential
                                                             May 2, 2012	
       22
Chip-Level Connectivity Verification Flow
      Top-level of SoC



     A                                B
                       cond
                                                                              Connec0vity  proofs
                                                                            (asser0ons  and  covers)




                                                                                 Waveforms
      Connectivity map                                                        with connectivity
                                                                                 conditions



                    RTL



Page 23 | © 2012, Jasper Design Automation | Confidential
                                                            May 2, 2012	
                               23
Automated Register Verification
      Formal proofs are exhaustive
            •    Checks for all possible sequences of RD/WRs in any order

            •    Checks for all register addresses

      Conceptually, the following non-deterministic trace is considered
           by formal for proving address A
 Register
 transfer
                                                                    D1                                  D2
                                     check                      update         check             update      check
Expected
                                        Reset value                                    D1                     D2
reg-value
                                                            Non-deterministic # (zero to infinite) of
                                                            Rd/ Wr access to any address except A
             reset




                                                           Read from address A

                                                    D Write D to address A
    Page 24 | © 2012, Jasper Design Automation | Confidential
                                                                    May 2, 2012	
                                    24
Jasper Provides Verification Solutions to
    IP and System-on-chip Designs
     Property  Synthesis
                                                                  Formal  Property  Verifica8on
       Automated  asser0on  genera0on
                                                      Protocol  cer0fica0on
       Iden0fica0on  of  coverage  holes
                                                    End-­‐to-­‐end  packet  integrity
       Inference  and  synthesis  of  func0onal  proper0es                                  Asynchronous  clocking  effects  
        from  RTL  and  simula0on  waveforms
                                                Asser0on-­‐based  verifica0on

                                                                                                         RTL  Development
               Verifica8on  IP
                                                                             Designer-­‐based  verifica0on  w/o  testbench
                 Cer0fica0on  of  AMBA  4/ACE  checkers
                                                   Design  trade-­‐off  analysis
                 Popular  standard  protocols
                                                            X-­‐propaga0on  detec0on  and  debug
                 Configurable,  illustra0ve,  op0mized  for  formal
                                       Power  management  verifica0on


           Architecture  Valida8on
                         Post-­‐Silicon  Debug
                                      SoC  Integra8on
             Executable  spec
                               Failure  signature  matching
                              Automated  register  verifica0on
             Absence  of  deadlock
                          Root  cause  isola0on
                                     Glitch  detec0on
             Cache  coherency
                               Candidate  cause  elimina0on
                              Mul0-­‐cycle  path  verifica0on
                                                              Valida0on  of  fixes  before  re-­‐spin
                    Chip-­‐level  connec0vity




     Higher  Capacity
                       Interac8ve  Debug                    Increased  Throughput                        Wider  Deployment  
Verify  complex  100M  gate              Modify/create  proper0es  on              U0lize  mul0ple  proof                       Proliferate  across  
          designs
                        the  fly  to  explore  design          engines  on  parallel  compute               engineering  teams  with  
              
                                     behavior
                             resources
                         unique  adop0on  model




Page 25 | © 2012, Jasper Design Automation | Confidential
                                                                   May 2, 2012	
                                                                              25
Thanks




Page 26 | © 2012, Jasper Design Automation | Confidential
                                                             May 2, 2012	
   26

More Related Content

What's hot

Programmable asic i/o cells
Programmable asic i/o cellsProgrammable asic i/o cells
Programmable asic i/o cellsYalagoud Patil
 
Introduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designIntroduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designUsha Mehta
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptxAhmed Abdelazeem
 
Verification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designVerification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designUsha Mehta
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical designI World Tech
 
Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Usha Mehta
 
Uvm presentation dac2011_final
Uvm presentation dac2011_finalUvm presentation dac2011_final
Uvm presentation dac2011_finalsean chen
 
Reset Metastability Issues.pptx
Reset Metastability Issues.pptxReset Metastability Issues.pptx
Reset Metastability Issues.pptxssuserfb39fe
 
A reusable verification environment for NoC platforms using UVM
A reusable verification environment for NoC platforms using UVMA reusable verification environment for NoC platforms using UVM
A reusable verification environment for NoC platforms using UVMSameh El-Ashry
 
Tutorial getting started with RISC-V verification
Tutorial getting started with RISC-V verificationTutorial getting started with RISC-V verification
Tutorial getting started with RISC-V verificationRISC-V International
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verificationUsha Mehta
 
Verification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsVerification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsDr. Shivananda Koteshwar
 
Dft (design for testability)
Dft (design for testability)Dft (design for testability)
Dft (design for testability)shaik sharief
 
Functional verification techniques EW16 session
Functional verification techniques  EW16 sessionFunctional verification techniques  EW16 session
Functional verification techniques EW16 sessionSameh El-Ashry
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog Ramdas Mozhikunnath
 

What's hot (20)

Programmable asic i/o cells
Programmable asic i/o cellsProgrammable asic i/o cells
Programmable asic i/o cells
 
Introduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi designIntroduction of testing and verification of vlsi design
Introduction of testing and verification of vlsi design
 
4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx4. Formal Equivalence Checking (Formality).pptx
4. Formal Equivalence Checking (Formality).pptx
 
ASIC design verification
ASIC design verificationASIC design verification
ASIC design verification
 
Verification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_designVerification flow and_planning_vlsi_design
Verification flow and_planning_vlsi_design
 
Vlsi physical design
Vlsi physical designVlsi physical design
Vlsi physical design
 
Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)Design-for-Test (Testing of VLSI Design)
Design-for-Test (Testing of VLSI Design)
 
Uvm presentation dac2011_final
Uvm presentation dac2011_finalUvm presentation dac2011_final
Uvm presentation dac2011_final
 
Reset Metastability Issues.pptx
Reset Metastability Issues.pptxReset Metastability Issues.pptx
Reset Metastability Issues.pptx
 
A reusable verification environment for NoC platforms using UVM
A reusable verification environment for NoC platforms using UVMA reusable verification environment for NoC platforms using UVM
A reusable verification environment for NoC platforms using UVM
 
Tutorial getting started with RISC-V verification
Tutorial getting started with RISC-V verificationTutorial getting started with RISC-V verification
Tutorial getting started with RISC-V verification
 
2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification2019 2 testing and verification of vlsi design_verification
2019 2 testing and verification of vlsi design_verification
 
file-3.ppt
file-3.pptfile-3.ppt
file-3.ppt
 
Verification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICsVerification challenges and methodologies - SoC and ASICs
Verification challenges and methodologies - SoC and ASICs
 
CPU Verification
CPU VerificationCPU Verification
CPU Verification
 
Dft (design for testability)
Dft (design for testability)Dft (design for testability)
Dft (design for testability)
 
Functional verification techniques EW16 session
Functional verification techniques  EW16 sessionFunctional verification techniques  EW16 session
Functional verification techniques EW16 session
 
Soc lect1
Soc lect1Soc lect1
Soc lect1
 
SOC Verification using SystemVerilog
SOC Verification using SystemVerilog SOC Verification using SystemVerilog
SOC Verification using SystemVerilog
 
Design Verification
Design VerificationDesign Verification
Design Verification
 

Viewers also liked

Convergence - Where Blockchain Converges With AR / VR / AI / 3d printing / dr...
Convergence - Where Blockchain Converges With AR / VR / AI / 3d printing / dr...Convergence - Where Blockchain Converges With AR / VR / AI / 3d printing / dr...
Convergence - Where Blockchain Converges With AR / VR / AI / 3d printing / dr...Jamie Burke
 
OMAP Verification
OMAP VerificationOMAP Verification
OMAP VerificationDVClub
 
Resume_Prathamesh_VLSI_VIT_UNIVERSITY_Cadence_11Months_Exp
Resume_Prathamesh_VLSI_VIT_UNIVERSITY_Cadence_11Months_ExpResume_Prathamesh_VLSI_VIT_UNIVERSITY_Cadence_11Months_Exp
Resume_Prathamesh_VLSI_VIT_UNIVERSITY_Cadence_11Months_ExpPrathamesh Chodankar
 
Formal Verification Techniques
Formal Verification TechniquesFormal Verification Techniques
Formal Verification TechniquesDVClub
 
INFOGRAPHIC: Smart contracts between hype and reality
INFOGRAPHIC: Smart contracts between hype and realityINFOGRAPHIC: Smart contracts between hype and reality
INFOGRAPHIC: Smart contracts between hype and realityCapgemini
 

Viewers also liked (6)

Convergence - Where Blockchain Converges With AR / VR / AI / 3d printing / dr...
Convergence - Where Blockchain Converges With AR / VR / AI / 3d printing / dr...Convergence - Where Blockchain Converges With AR / VR / AI / 3d printing / dr...
Convergence - Where Blockchain Converges With AR / VR / AI / 3d printing / dr...
 
Jasper, Internet of Things
Jasper, Internet of ThingsJasper, Internet of Things
Jasper, Internet of Things
 
OMAP Verification
OMAP VerificationOMAP Verification
OMAP Verification
 
Resume_Prathamesh_VLSI_VIT_UNIVERSITY_Cadence_11Months_Exp
Resume_Prathamesh_VLSI_VIT_UNIVERSITY_Cadence_11Months_ExpResume_Prathamesh_VLSI_VIT_UNIVERSITY_Cadence_11Months_Exp
Resume_Prathamesh_VLSI_VIT_UNIVERSITY_Cadence_11Months_Exp
 
Formal Verification Techniques
Formal Verification TechniquesFormal Verification Techniques
Formal Verification Techniques
 
INFOGRAPHIC: Smart contracts between hype and reality
INFOGRAPHIC: Smart contracts between hype and realityINFOGRAPHIC: Smart contracts between hype and reality
INFOGRAPHIC: Smart contracts between hype and reality
 

Similar to A comprehensive formal verification solution for ARM based SOC design

Agile Testing Practices
Agile Testing PracticesAgile Testing Practices
Agile Testing PracticesPaul King
 
21st Century SOA
21st Century SOA21st Century SOA
21st Century SOABob Rhubart
 
Непрерывное тестирование для улучшения качества кода
Непрерывное тестирование для улучшения качества кодаНепрерывное тестирование для улучшения качества кода
Непрерывное тестирование для улучшения качества кодаSQALab
 
Постоянное тестирование интеграции
Постоянное тестирование интеграцииПостоянное тестирование интеграции
Постоянное тестирование интеграцииSQALab
 
Omnikron Services 2009
Omnikron Services 2009Omnikron Services 2009
Omnikron Services 2009Robin Borough
 
High-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDECHigh-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDECJack Erickson
 
21st Century Service Oriented Architecture
21st Century Service Oriented Architecture21st Century Service Oriented Architecture
21st Century Service Oriented ArchitectureBob Rhubart
 
21st Century SOA
21st Century SOA21st Century SOA
21st Century SOABob Rhubart
 
Enterprise Architecture
Enterprise ArchitectureEnterprise Architecture
Enterprise ArchitectureRaman Kannan
 
ECM Decision Matrix - Deciding Between Alfresco Community Edition, Alfresco E...
ECM Decision Matrix - Deciding Between Alfresco Community Edition, Alfresco E...ECM Decision Matrix - Deciding Between Alfresco Community Edition, Alfresco E...
ECM Decision Matrix - Deciding Between Alfresco Community Edition, Alfresco E...Alfresco Software
 
Simulation Directed Co-Design from Smartphones to Supercomputers
Simulation Directed Co-Design from Smartphones to SupercomputersSimulation Directed Co-Design from Smartphones to Supercomputers
Simulation Directed Co-Design from Smartphones to SupercomputersEric Van Hensbergen
 
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010FPGA Central
 
Obeo thales@md day2011
Obeo thales@md day2011Obeo thales@md day2011
Obeo thales@md day2011MDDAY11
 
OSCON 2012 OpenStack Automation and DevOps Best Practices
OSCON 2012 OpenStack Automation and DevOps Best PracticesOSCON 2012 OpenStack Automation and DevOps Best Practices
OSCON 2012 OpenStack Automation and DevOps Best PracticesMatt Ray
 
Fel Flyer F10
Fel Flyer F10Fel Flyer F10
Fel Flyer F10chitlesh
 
M3 Modernization Case Study
M3 Modernization Case StudyM3 Modernization Case Study
M3 Modernization Case StudyADC Austin Tech
 
Operating the Hyperscale Cloud
Operating the Hyperscale CloudOperating the Hyperscale Cloud
Operating the Hyperscale CloudOpen Stack
 
Continuous Delivery using Release Management Automation
Continuous Delivery using Release Management AutomationContinuous Delivery using Release Management Automation
Continuous Delivery using Release Management AutomationPremkumar Veerakumar
 
CA Nimsoft xen desktop monitoring
CA Nimsoft xen desktop monitoring CA Nimsoft xen desktop monitoring
CA Nimsoft xen desktop monitoring CA Nimsoft
 
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)David Rosenblum
 

Similar to A comprehensive formal verification solution for ARM based SOC design (20)

Agile Testing Practices
Agile Testing PracticesAgile Testing Practices
Agile Testing Practices
 
21st Century SOA
21st Century SOA21st Century SOA
21st Century SOA
 
Непрерывное тестирование для улучшения качества кода
Непрерывное тестирование для улучшения качества кодаНепрерывное тестирование для улучшения качества кода
Непрерывное тестирование для улучшения качества кода
 
Постоянное тестирование интеграции
Постоянное тестирование интеграцииПостоянное тестирование интеграции
Постоянное тестирование интеграции
 
Omnikron Services 2009
Omnikron Services 2009Omnikron Services 2009
Omnikron Services 2009
 
High-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDECHigh-Level Synthesis Skill Development Needs - IEDEC
High-Level Synthesis Skill Development Needs - IEDEC
 
21st Century Service Oriented Architecture
21st Century Service Oriented Architecture21st Century Service Oriented Architecture
21st Century Service Oriented Architecture
 
21st Century SOA
21st Century SOA21st Century SOA
21st Century SOA
 
Enterprise Architecture
Enterprise ArchitectureEnterprise Architecture
Enterprise Architecture
 
ECM Decision Matrix - Deciding Between Alfresco Community Edition, Alfresco E...
ECM Decision Matrix - Deciding Between Alfresco Community Edition, Alfresco E...ECM Decision Matrix - Deciding Between Alfresco Community Edition, Alfresco E...
ECM Decision Matrix - Deciding Between Alfresco Community Edition, Alfresco E...
 
Simulation Directed Co-Design from Smartphones to Supercomputers
Simulation Directed Co-Design from Smartphones to SupercomputersSimulation Directed Co-Design from Smartphones to Supercomputers
Simulation Directed Co-Design from Smartphones to Supercomputers
 
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010
 
Obeo thales@md day2011
Obeo thales@md day2011Obeo thales@md day2011
Obeo thales@md day2011
 
OSCON 2012 OpenStack Automation and DevOps Best Practices
OSCON 2012 OpenStack Automation and DevOps Best PracticesOSCON 2012 OpenStack Automation and DevOps Best Practices
OSCON 2012 OpenStack Automation and DevOps Best Practices
 
Fel Flyer F10
Fel Flyer F10Fel Flyer F10
Fel Flyer F10
 
M3 Modernization Case Study
M3 Modernization Case StudyM3 Modernization Case Study
M3 Modernization Case Study
 
Operating the Hyperscale Cloud
Operating the Hyperscale CloudOperating the Hyperscale Cloud
Operating the Hyperscale Cloud
 
Continuous Delivery using Release Management Automation
Continuous Delivery using Release Management AutomationContinuous Delivery using Release Management Automation
Continuous Delivery using Release Management Automation
 
CA Nimsoft xen desktop monitoring
CA Nimsoft xen desktop monitoring CA Nimsoft xen desktop monitoring
CA Nimsoft xen desktop monitoring
 
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)
Software System Scalability: Concepts and Techniques (keynote talk at ISEC 2009)
 

More from chiportal

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...chiportal
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technionchiportal
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faradaychiportal
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia chiportal
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsyschiportal
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzchiportal
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intelchiportal
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed chiportal
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arterischiportal
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtoolchiportal
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQchiportal
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC chiportal
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Siliconchiportal
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsyschiportal
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retinachiportal
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Siliconchiportal
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductorchiportal
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technionchiportal
 

More from chiportal (20)

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technion
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faraday
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazz
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intel
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arteris
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtool
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQ
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Silicon
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retina
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Silicon
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technion
 

Recently uploaded

Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityPrincipled Technologies
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure servicePooja Nehwal
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...gurkirankumar98700
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Igalia
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024Scott Keck-Warren
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Allon Mureinik
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxMalak Abu Hammad
 
Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Paola De la Torre
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerThousandEyes
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreternaman860154
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfEnterprise Knowledge
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slidevu2urc
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024Results
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Servicegiselly40
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationRadu Cotescu
 
Google AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAGGoogle AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAGSujit Pal
 

Recently uploaded (20)

Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure serviceWhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
WhatsApp 9892124323 ✓Call Girls In Kalyan ( Mumbai ) secure service
 
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
Kalyanpur ) Call Girls in Lucknow Finest Escorts Service 🍸 8923113531 🎰 Avail...
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024
 
Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)Injustice - Developers Among Us (SciFiDevCon 2024)
Injustice - Developers Among Us (SciFiDevCon 2024)
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
The Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptxThe Codex of Business Writing Software for Real-World Solutions 2.pptx
The Codex of Business Writing Software for Real-World Solutions 2.pptx
 
Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
Google AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAGGoogle AI Hackathon: LLM based Evaluator for RAG
Google AI Hackathon: LLM based Evaluator for RAG
 

A comprehensive formal verification solution for ARM based SOC design

  • 1. A Comprehensive Formal Verification Solution for ARM® Processor Based SoC Design Laurent Arditi, PhD – ARM Formal Verification Expert Ziyad Hanna, PhD – Jasper VP of Research & Chief Architect May 2, 2012 1
  • 2. Jasper Provides Verification Solutions to IP and System-on-chip Designs Property  Synthesis Formal  Property  Verifica8on   Automated  asser0on  genera0on   Protocol  cer0fica0on   Iden0fica0on  of  coverage  holes   End-­‐to-­‐end  packet  integrity   Inference  and  synthesis  of  func0onal  proper0es     Asynchronous  clocking  effects   from  RTL  and  simula0on  waveforms   Asser0on-­‐based  verifica0on RTL  Development Verifica8on  IP   Designer-­‐based  verifica0on  w/o  testbench   Cer0fica0on  of  AMBA  4/ACE  checkers   Design  trade-­‐off  analysis   Popular  standard  protocols   X-­‐propaga0on  detec0on  and  debug   Configurable,  illustra0ve,  op0mized  for  formal   Power  management  verifica0on Architecture  Valida8on Post-­‐Silicon  Debug SoC  Integra8on   Executable  spec   Failure  signature  matching   Automated  register  verifica0on   Absence  of  deadlock   Root  cause  isola0on   Glitch  detec0on   Cache  coherency   Candidate  cause  elimina0on   Mul0-­‐cycle  path  verifica0on   Valida0on  of  fixes  before  re-­‐spin   Chip-­‐level  connec0vity Higher  Capacity Interac8ve  Debug   Increased  Throughput   Wider  Deployment   Verify  complex  100M  gate   Modify/create  proper0es  on   U0lize  mul0ple  proof   Proliferate  across   designs the  fly  to  explore  design   engines  on  parallel  compute   engineering  teams  with   behavior resources unique  adop0on  model Page 2 | © 2012, Jasper Design Automation | Confidential May 2, 2012 2
  • 3. Customers Ericsson - A world of communication - Ericsson Apple WELCOME TO ERICSSON Sony SMI AMCC Page 3 | © 2012, Jasper Design Automation | Confidential May 2, 2012 3
  • 4. Agenda   IP Level Formal Verification at ARM   System Level Verification of ARM® processor based SoC Page 4 | © 2012, Jasper Design Automation | Confidential May 2, 2012 4
  • 5. ARM Cortex-R7 Formal Verification with Jasper   The ARM formal verification flow based on Jasper has been found to have capacity to support the verification of a Cortex-R series real-time processor   Setup •  All the formal verification tasks for the ARM Cortex-R7 are applied at the top-level •  The top-level constraints are “simple” •  AXI protocol checkers •  Models of RAMs only where needed (mostly cache tags): CAMs with additional constraints to start from a non-empty RAM content •  A few assumptions to avoid fails due to software errors Page 5 | © 2012, Jasper Design Automation | Confidential May 2, 2012 5
  • 6. Trial ARM Formal Verification Flow waveforms RTL design team properties JasperGold setup validation team constraints abstractions report leads & managers email Excel ValSpider Jira Trial deployment on several blocks and units, with differing design size. Page 6 | © 2012, Jasper Design Automation | Confidential May 2, 2012 6
  • 7. Formal for RTL Development - RTLD   Designer-based verification w/o testbench •  Allows early RTL exploration without the need to generate input stimulus •  Start with simple behaviors about the design –  cover line_eop •  Group simple behaviors together to build complex scenarios •  Write assertions about events that are always/never true   Design trade-off analysis •  Behaviors and scenarios allow for easy incremental analysis and RTL comparison tasks   Higher quality RTL passed to other teams in the design/verification flow Page 7 | © 2012, Jasper Design Automation | Confidential May 2, 2012 7
  • 8. Jasper Flow for RTL Designers* What-if analysis Visualize design behavior w/o testbench RTL Debug failing scenarios Functional scenario A : assertion 5 violation Functional scenario B : assertion 7 violation Combine and save Functional scenario C…… multiple functional Functional scenario D….. scenarios Scenario A Compare saved Scenario B RTL’ scenarios Scenario C Database against modified Scenario D RTL Modified RTL (*Partially used at ARM) Page 8 | © 2012, Jasper Design Automation | Confidential May 2, 2012 8
  • 9. Jasper’s  Visualize  Technology Simula0on Visualize RTL Waveform RTL Waveform Simulator VisualizeTM Testbench state  ==  READ ack  =  1 state  ==  READ ack  =  1 Target  is  always  in  the   Target waveform   Simula0on •  More  of  an  ‘input  driven’  method,  may  not  exercise  desired  behavior •  Wiggle  the  inputs  to  produce  a  desired  behavior  (trial  and  error)   Visualize •  More  of  an  ‘output  driven’  method  and  u0lizes  formal  engines •  QuietTraceTM  minimizes  inputs  and  s0ll  produces  desired  behavior •  Interac0vely  add  constraints  to  construct  desired  waveform Page 9 | © 2012, Jasper Design Automation | Confidential May 2, 2012 9
  • 10. ARM Experience Laurent Arditi, Principal Engineer, Processor Division, Jasper User Group 2011   Some simulation test benches were not ready soon enough to run the first RTL modules with new features   So used FV to check these new features   Use of basic properties to check the RTL is not completely broken   Use of visualize to show the design is alive and the new features “do something” not stupid   It’s much faster to get a working formal setup than a simulation one   And designers find formal counter-examples to be easier to debug than simulation failures Page 10 | © 2012, Jasper Design Automation | Confidential May 2, 2012 10
  • 11. ARM’s Assertion Based Design with JasperGold   Assertions were written for both simulation and formal   Strong but simple SVA coding guidelines, for the ARM Cortex-R7: •  Avoid non-synthetizable properties (but liveness is accepted) •  Maximize the use of implications to get coverage points for free •  Software constraints turned into assumes for formal •  Critical properties on which a higher effort must be put   X-Propagation checks   Depending on the configuration, end-up with thousands of properties Page 11 | © 2012, Jasper Design Automation | Confidential May 2, 2012 11
  • 12. Formal Verification Dashboard 1600 Properties 18% 1400 Proven % fail 16% Fail 1200 14% % unreachable Undetermined 12% 1000 Poly. (% fail) 10% Poly. (% unreachable) 800 8% 6% 600 4% 400 2% 200 0% 0 4 6 8 10 12 14 16 18 20 22 24 26 28 30 32 34 36 38 40 42 44 46 48 50 52 2 4 6 8 10 12 beta EAC beta EAC Page 12 | © 2012, Jasper Design Automation | Confidential May 2, 2012 12
  • 13. JasperGold Found 15% of The Bugs   Formal found many bugs at the start of the project. They were not tracked   Started to count the assertion fails in Jan’11, and in Jira in July’11 (beta) 0.18 % fail 0.16 0.14 0.12 0.1 0.08 0.06 0.04 0.02 0 Page 13 | © 2012, Jasper Design Automation | Confidential May 2, 2012 13
  • 14. Quality of bugs found by JasperGold   All bugs found by formal were not found earlier by simulation   Very few false-negatives •  They could be resolved by adding new constraints •  A few remaining are UNPREDICTABLE cases and the constraints to discard them are too complex to write. So these fails are “explained” and skipped   Formal provides easy to debug waveforms   Quality of the bugs found by formal: •  Very good at the beginning: obvious design errors •  Real corner cases   Assertions are usually simple. More sequential ones would find more complex bugs   Higher-level properties would allow to discover more fundamental bugs: deadlock, coherency, determinism. Planned for maturity Page 14 | © 2012, Jasper Design Automation | Confidential May 2, 2012 14
  • 15. Agenda   IP Level Formal Verification at ARM   System Level Verification of ARM processor based SoC Page 15 | © 2012, Jasper Design Automation | Confidential May 2, 2012 15
  • 16. ARM Based Heterogeneous System-on-Chip GIC-400 ARM Video LCD Mali-T604 I/O graphics device ARM ARM Network Interconnect Quad Quad NIC-400 Cortex-A15 Cortex-A7 MMU-400 MMU-400 MMU-400 Cache Coherent Interconnect CCI-400 Dynamic Memory Controller Network Interconnect DMC-400 NIC-400 PHY PHY DDR3/LPDDR2 DDR3/LPDDR2 Slaves Slaves JUG-2011 Paul Martin paul.martin@arm.com Page 16 | © 2012, Jasper Design Automation | Confidential May 2, 2012 16
  • 17. SoC Integration and Verification Challenges   Protocol Modeling and Verification, Coherency   Standard Interface Modeling and Verification (ProofKits)   System Level Deadlocks Detection and Verification   Connectivity and Integration   Register programming sequence   Power analysis and verification   Security checks Page 17 | © 2012, Jasper Design Automation | Confidential May 2, 2012 17
  • 18. ACE Verification – High-level Properties   Coherence •  If a master s cache has a line in UD or UC, no other master can have the line in a valid state •  If a master s cache has a line in SD, no other cache master can have the line in SD   Deadlock •  At least one transaction can always make forward progress   Data integrity •  A read always reads the last write to an address Page 18 | © 2012, Jasper Design Automation | Confidential May 2, 2012 18
  • 19. Jasper Architectural Validation Flow Automatic Generation of SV Model and Properties Architectural proofs Arch spec. • Consistency • Completeness • E.g., coherency property Architectural waveforms without testbench Table-­‐based  entry  format (or  Murphi) Architectural requirements RTL Executable Export properties RTL formal document view to RTL simulation verification Page 19 | © 2012, Jasper Design Automation | Confidential May 2, 2012 19
  • 20. Advantages   Verify architectural rules – cache coherence, deadlock freedom   Find corner case bugs – deadlocks, coherence issues   Validate future protocol changes   Remove specification ambiguities   Downstream usage as VIP – checks + coverage model Page 20 | © 2012, Jasper Design Automation | Confidential May 2, 2012 20
  • 21. ACE Protocol Modeling and Verification With Jasper “Verifying cache coherent systems is difficult and designers need sophisticated VIP to help solve these issues” “ARM partners with EDA companies like Jasper to ensure our SiP’s are enabled to take advantage of improved system performance and power JUG-2011 – Paul Martin provided by AMBA 4” paul.martin@arm.com Page 21 | © 2012, Jasper Design Automation | Confidential May 2, 2012 21
  • 22. Chip-Level Connectivity Verification Solution   Exhaustively verifies that the RTL matches the connectivity definition •  Verify that point A is equivalent to point B (block or chip level) as certain signals/modes can impact connections •  No other signals/modes/settings can impact connections •  Important aspect of system integration of many IP’s   Types of connection   Structural, Boolean condition, temporal condition, and temporal connection with latency and delay   Allow fast and exhaustive verification   Quickly reconfirm results (regressions) as RTL is being modified   Automated flow allows early and frequent verification Page 22 | © 2012, Jasper Design Automation | Confidential May 2, 2012 22
  • 23. Chip-Level Connectivity Verification Flow Top-level of SoC A B cond Connec0vity  proofs (asser0ons  and  covers) Waveforms Connectivity map with connectivity conditions RTL Page 23 | © 2012, Jasper Design Automation | Confidential May 2, 2012 23
  • 24. Automated Register Verification   Formal proofs are exhaustive •  Checks for all possible sequences of RD/WRs in any order •  Checks for all register addresses   Conceptually, the following non-deterministic trace is considered by formal for proving address A Register transfer D1 D2 check update check update check Expected Reset value D1 D2 reg-value Non-deterministic # (zero to infinite) of Rd/ Wr access to any address except A reset Read from address A D Write D to address A Page 24 | © 2012, Jasper Design Automation | Confidential May 2, 2012 24
  • 25. Jasper Provides Verification Solutions to IP and System-on-chip Designs Property  Synthesis Formal  Property  Verifica8on   Automated  asser0on  genera0on   Protocol  cer0fica0on   Iden0fica0on  of  coverage  holes   End-­‐to-­‐end  packet  integrity   Inference  and  synthesis  of  func0onal  proper0es     Asynchronous  clocking  effects   from  RTL  and  simula0on  waveforms   Asser0on-­‐based  verifica0on RTL  Development Verifica8on  IP   Designer-­‐based  verifica0on  w/o  testbench   Cer0fica0on  of  AMBA  4/ACE  checkers   Design  trade-­‐off  analysis   Popular  standard  protocols   X-­‐propaga0on  detec0on  and  debug   Configurable,  illustra0ve,  op0mized  for  formal   Power  management  verifica0on Architecture  Valida8on Post-­‐Silicon  Debug SoC  Integra8on   Executable  spec   Failure  signature  matching   Automated  register  verifica0on   Absence  of  deadlock   Root  cause  isola0on   Glitch  detec0on   Cache  coherency   Candidate  cause  elimina0on   Mul0-­‐cycle  path  verifica0on   Valida0on  of  fixes  before  re-­‐spin   Chip-­‐level  connec0vity Higher  Capacity Interac8ve  Debug   Increased  Throughput   Wider  Deployment   Verify  complex  100M  gate   Modify/create  proper0es  on   U0lize  mul0ple  proof   Proliferate  across   designs the  fly  to  explore  design   engines  on  parallel  compute   engineering  teams  with   behavior resources unique  adop0on  model Page 25 | © 2012, Jasper Design Automation | Confidential May 2, 2012 25
  • 26. Thanks Page 26 | © 2012, Jasper Design Automation | Confidential May 2, 2012 26