SlideShare a Scribd company logo
1 of 24
1June 2003
Design of a 17-million Gate
Network Processor
using a Design Factory
Satish Bagalkotkar Satish Iyengar
Methodology/ASIC Logic Design
Gilles-Eric Descamps Subramanian Ganesan Alain Pirson
Infrastructure Physical Design Design Verification
2June 2003
Agenda
 Control of timeline
– Five maturity phases
 Control of execution
– Goals Definition, Data Capture - Qualification
– Logic Design, Verification
– IP core catalog
– Gates-to-GDSII flow
– Chip Integration / Bring-up
3June 2003
Why did we need a “Factory” ?
 Four complex SoC
 Company growing by 500% across 3
campuses
 Minimal employee ramp-up time
 Limited resources
 Target Performance (20Gb/s)
4June 2003
Approach
 Front-loaded methodology
 Decoupling of different aspects of design
– RTL, verification, circuit, back-end, etc
– Each team has its own specific goals
 Break down of the design timeline
– Five phases with entry and exit criteria
– Defined maturity for each phase
– Structured progress for monotonic convergence
 Early detection of problems requiring trade-offs
5June 2003
Control of timeline
6June 2003
Five phases for maturity
0
75%
95%
100% 100%
33%
85%
99%
100%
0
20
40
60
80
100
%completion
logic verif.
Base0 Base1 Base2 Base3 Homerun
Netlist
stability
Verification
Block
floorplanning
Netlist
completeness
BE timing closure
block
signoff
chip
tapeout
Budgeting
Chip floorplanning
7June 2003
Monotonic Convergence
 Controlled releases from FE to BE
based on predefined quality metrics
floorplan place route signoff chip
tasks
time
BE HR
BE B3
BE B2
BE B1
BE B0
floodgatefloodgatefloodgate
FE B0
FE B1
FE B2
FE B3
8June 2003
Base 0 / Floorplan
 Focus
– Top level chip floor-planning (black-box model)
– Block area and timing budgets
– Feasibility studies (power, clock etc.)
– Performance analysis
 Entry Criteria
– RTL 33% coded
– Verification setup completed
 Exit Criteria
– FE: 75% RTL coded (90% of these paths meet synthesis timing)
– Verification: 33% of design qualified
– BE: Block sizes and pin assignment
(*) Similar criteria for circuit design, software…
9June 2003
Base 1 / Synthesis
 Focus
– Synthesis to meet budgets
– RTL completion
– Block floorplan & placement based timing
 Entry Criteria
– 75% functionality coded
– Verification: 33% of tests passing
– 90% of paths meet synthesis timing budget
 Exit Criteria
– FE: 95% RTL coded
– Verification: 90% of tests passing
– BE: placement based timing meets within 10%
10June 2003
Base 2 / Physical Design
 Focus
– Post-route timing within 10%
– RTL completeness
– Stability of the design
 Entry Criteria
– 95% functionality coded, 90% functionality tested
– Design meets synthesis timing budgets
 Exit Criteria
– 100% of functionality coded, 99% of functionality verified
– Verilog coverage (FSM 95%, code 90%)
– 90% paths meet post-route timing closure
11June 2003
Base 3 / Timing Closure
 Focus
– Post-route timing closure (no violations)
– Close Physical Design of all blocks
– Extensive random testing for corner cases
 Entry Criteria
– 100% functionality tested
– All known bugs fixed
– Freeze libraries, tool versions etc.
 Exit Criteria
– FE: primarily bug fixes as they arise
• Migrate team members to other activity
– BE: all blocks tapeout ready
12June 2003
Home Run / Tapeout
 Focus
– Chip Integration & Verification
– Last minute ECO fixes
– Tapeout !
 Entry Criteria
– All blocks are DRC/LVS clean
– Blocks meet all requirements (timing, clock, power etc)
 Exit Criteria
– FE: 2 weeks of random testing with no bugs.
– BE: Release GDSII to Foundry
13June 2003
Control of execution
14June 2003
A “Design Factory”
 Several “assembly lines” (flow/team)
– RTL / Verification / Software
– Custom / Analog
– Block Physical Design
– Chip integration
 Coupled by a “forklift” (formal releases)
– Capture
– Qualification
15June 2003
Logic Design
 Synchronous inter-block interfaces
 Margins inclusion into synthesis target period
– Allows early detection of critical paths
 appropriate micro-architecture trade-offs
– Guarantees continuous timing closure
 Ensures speedy convergence FE-BE
 Nightly build of design for QA
– Compile - lint - mini regression - metrics gathering
 Provides constant monitoring of design
(no-cost status updates)
16June 2003
Design Verification
 Complementary test strategies
– Standalone processor core verification
(7K tests, ~350M random cycles)
– Transaction-based chip-level verification
• Atom processor core used as virtual machine
– System-level verification (application code processing
packets)
 Plug & play: insertion of RTL blocks / entire
design into line-card C-model
– Early introduction of RTL into system-level simulations
– System-level simulation & emulation of complete RTL
design
• Environment includes C-model of all coprocessors
• RTL design runs line-card application code
17June 2003
IP Core Catalog
18June 2003
Catalog
 Per
core
view
19June 2003
Physical Design Flow
 One-Button Gates-to-GDSII block flow
 Best of Breed 15+ tools, 60+ steps
 Single color-coded GUI
 4 exit points – floorplan, place, route,
signoff
 Data & results captured, qualified and
published on a web page
20June 2003
Design
Dependent
Knowledge
user.params
Project
Knowledge
global.params
Design
Process
Knowledge
templates
Distributed
File
watchdog
Milkyway Resource management
.vg .DEF.LEF .spf .spef .sdc
capsule
cadence magma
capsulecapsule
synopsys
Notification
popup email
web drill-down
overview
nightly regressions
testcase 3
testcase 2
testcase 1
user
interface
Compute
Server
Farm
licenses
Block Flow
21June 2003
Correct-by-construction approach
 Top-level Clock H-trees
– Using tunable buffers to reach a 5ps skew
 Noise avoidance and correction
 Custom-designed power grid
 Length based buffer insertion at top-level
 Margin based timing closure
 Area growth prediction
22June 2003
 8GB
GDSII
 Impact of
a change
limited by
Trusted
Change
Controls
Chip integration
23June 2003
Bring-up
 1300 functional tests passing on the first day
 No bug found after one year of field-
testing
Development
System
24June 2003
iAC
4Q01
iCL
1Q02
iPP
2Q02
iAP
2Q02
Conclusion
 Early emphasis on quality
significantly improved overall schedule
 Margin-based approach enables monotonic
convergence
 Qualified deliveries at milestones to synchronize
teams
 Capture & Measure provide company-wide
visibility into the project

More Related Content

What's hot

Qualifying a high performance memory subsysten for Functional Safety
Qualifying a high performance memory subsysten for Functional SafetyQualifying a high performance memory subsysten for Functional Safety
Qualifying a high performance memory subsysten for Functional SafetyPankaj Singh
 
Postgraduate Projects in Scilab
Postgraduate Projects in ScilabPostgraduate Projects in Scilab
Postgraduate Projects in ScilabPhdtopiccom
 
USB Power Delivery Functional and Compliance Test Validation Suite
USB Power Delivery Functional and Compliance Test Validation SuiteUSB Power Delivery Functional and Compliance Test Validation Suite
USB Power Delivery Functional and Compliance Test Validation SuiteSoliton Technologies
 
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...chiportal
 
TOGAF Classroom Series - M13 adm phases (g - h)
TOGAF Classroom Series - M13 adm phases (g - h)TOGAF Classroom Series - M13 adm phases (g - h)
TOGAF Classroom Series - M13 adm phases (g - h)Cuneyt Kaya
 
Simulink Projects in Matlab
Simulink Projects in MatlabSimulink Projects in Matlab
Simulink Projects in MatlabPhdtopiccom
 
65sp3 Rdidp Milestones.07.23.09
65sp3 Rdidp Milestones.07.23.0965sp3 Rdidp Milestones.07.23.09
65sp3 Rdidp Milestones.07.23.09flau3388
 

What's hot (8)

Qualifying a high performance memory subsysten for Functional Safety
Qualifying a high performance memory subsysten for Functional SafetyQualifying a high performance memory subsysten for Functional Safety
Qualifying a high performance memory subsysten for Functional Safety
 
Postgraduate Projects in Scilab
Postgraduate Projects in ScilabPostgraduate Projects in Scilab
Postgraduate Projects in Scilab
 
USB Power Delivery Functional and Compliance Test Validation Suite
USB Power Delivery Functional and Compliance Test Validation SuiteUSB Power Delivery Functional and Compliance Test Validation Suite
USB Power Delivery Functional and Compliance Test Validation Suite
 
Model based development(MBD)
Model based development(MBD) Model based development(MBD)
Model based development(MBD)
 
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...
 
TOGAF Classroom Series - M13 adm phases (g - h)
TOGAF Classroom Series - M13 adm phases (g - h)TOGAF Classroom Series - M13 adm phases (g - h)
TOGAF Classroom Series - M13 adm phases (g - h)
 
Simulink Projects in Matlab
Simulink Projects in MatlabSimulink Projects in Matlab
Simulink Projects in Matlab
 
65sp3 Rdidp Milestones.07.23.09
65sp3 Rdidp Milestones.07.23.0965sp3 Rdidp Milestones.07.23.09
65sp3 Rdidp Milestones.07.23.09
 

Similar to 17MNPU_49_1_08

OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...Soham Mondal
 
Space Codesign CMC Microsystems Webinar 20150205 unrolled
Space Codesign CMC Microsystems Webinar 20150205 unrolledSpace Codesign CMC Microsystems Webinar 20150205 unrolled
Space Codesign CMC Microsystems Webinar 20150205 unrolledSpace Codesign
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitSWINDONSilicon
 
SCM Transformation Challenges and How to Overcome Them
SCM Transformation Challenges and How to Overcome ThemSCM Transformation Challenges and How to Overcome Them
SCM Transformation Challenges and How to Overcome ThemCompuware
 
Introduction to TTCN-3 and AUTOSAR Conformance Testing
Introduction to TTCN-3 and AUTOSAR Conformance TestingIntroduction to TTCN-3 and AUTOSAR Conformance Testing
Introduction to TTCN-3 and AUTOSAR Conformance TestingOak Systems
 
Continuous Validation at Scale
Continuous Validation at ScaleContinuous Validation at Scale
Continuous Validation at ScaleMirantis
 
Architecting the Most Advanced Automotive SoCs: Maximizing Safety and Perform...
Architecting the Most Advanced Automotive SoCs: Maximizing Safety and Perform...Architecting the Most Advanced Automotive SoCs: Maximizing Safety and Perform...
Architecting the Most Advanced Automotive SoCs: Maximizing Safety and Perform...NetSpeed Systems
 
yeong_wang_resume_Jan_2015
yeong_wang_resume_Jan_2015yeong_wang_resume_Jan_2015
yeong_wang_resume_Jan_2015Yeong Wang
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptmonzhalabs
 

Similar to 17MNPU_49_1_08 (20)

OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
OSVC_Meta-Data based Simulation Automation to overcome Verification Challenge...
 
Space Codesign CMC Microsystems Webinar 20150205 unrolled
Space Codesign CMC Microsystems Webinar 20150205 unrolledSpace Codesign CMC Microsystems Webinar 20150205 unrolled
Space Codesign CMC Microsystems Webinar 20150205 unrolled
 
Design method
Design methodDesign method
Design method
 
JeanJacob
JeanJacobJeanJacob
JeanJacob
 
Making of an Application Specific Integrated Circuit
Making of an Application Specific Integrated CircuitMaking of an Application Specific Integrated Circuit
Making of an Application Specific Integrated Circuit
 
CPU Verification
CPU VerificationCPU Verification
CPU Verification
 
Gi oss offering top cell_partnership (1)
Gi oss offering top cell_partnership (1)Gi oss offering top cell_partnership (1)
Gi oss offering top cell_partnership (1)
 
SCM Transformation Challenges and How to Overcome Them
SCM Transformation Challenges and How to Overcome ThemSCM Transformation Challenges and How to Overcome Them
SCM Transformation Challenges and How to Overcome Them
 
Introduction to TTCN-3 and AUTOSAR Conformance Testing
Introduction to TTCN-3 and AUTOSAR Conformance TestingIntroduction to TTCN-3 and AUTOSAR Conformance Testing
Introduction to TTCN-3 and AUTOSAR Conformance Testing
 
2016ManojResume
2016ManojResume2016ManojResume
2016ManojResume
 
Continuous Validation at Scale
Continuous Validation at ScaleContinuous Validation at Scale
Continuous Validation at Scale
 
Alex16_ic
Alex16_icAlex16_ic
Alex16_ic
 
Dcs capabilities
Dcs capabilitiesDcs capabilities
Dcs capabilities
 
Architecting the Most Advanced Automotive SoCs: Maximizing Safety and Perform...
Architecting the Most Advanced Automotive SoCs: Maximizing Safety and Perform...Architecting the Most Advanced Automotive SoCs: Maximizing Safety and Perform...
Architecting the Most Advanced Automotive SoCs: Maximizing Safety and Perform...
 
resume
resumeresume
resume
 
SaileshKumar_Kumar_Resume
SaileshKumar_Kumar_ResumeSaileshKumar_Kumar_Resume
SaileshKumar_Kumar_Resume
 
yeong_wang_resume_Jan_2015
yeong_wang_resume_Jan_2015yeong_wang_resume_Jan_2015
yeong_wang_resume_Jan_2015
 
ASIC DESIGN FLOW
ASIC DESIGN FLOWASIC DESIGN FLOW
ASIC DESIGN FLOW
 
Atul_T_Biradar_CV
Atul_T_Biradar_CVAtul_T_Biradar_CV
Atul_T_Biradar_CV
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.ppt
 

17MNPU_49_1_08

  • 1. 1June 2003 Design of a 17-million Gate Network Processor using a Design Factory Satish Bagalkotkar Satish Iyengar Methodology/ASIC Logic Design Gilles-Eric Descamps Subramanian Ganesan Alain Pirson Infrastructure Physical Design Design Verification
  • 2. 2June 2003 Agenda  Control of timeline – Five maturity phases  Control of execution – Goals Definition, Data Capture - Qualification – Logic Design, Verification – IP core catalog – Gates-to-GDSII flow – Chip Integration / Bring-up
  • 3. 3June 2003 Why did we need a “Factory” ?  Four complex SoC  Company growing by 500% across 3 campuses  Minimal employee ramp-up time  Limited resources  Target Performance (20Gb/s)
  • 4. 4June 2003 Approach  Front-loaded methodology  Decoupling of different aspects of design – RTL, verification, circuit, back-end, etc – Each team has its own specific goals  Break down of the design timeline – Five phases with entry and exit criteria – Defined maturity for each phase – Structured progress for monotonic convergence  Early detection of problems requiring trade-offs
  • 6. 6June 2003 Five phases for maturity 0 75% 95% 100% 100% 33% 85% 99% 100% 0 20 40 60 80 100 %completion logic verif. Base0 Base1 Base2 Base3 Homerun Netlist stability Verification Block floorplanning Netlist completeness BE timing closure block signoff chip tapeout Budgeting Chip floorplanning
  • 7. 7June 2003 Monotonic Convergence  Controlled releases from FE to BE based on predefined quality metrics floorplan place route signoff chip tasks time BE HR BE B3 BE B2 BE B1 BE B0 floodgatefloodgatefloodgate FE B0 FE B1 FE B2 FE B3
  • 8. 8June 2003 Base 0 / Floorplan  Focus – Top level chip floor-planning (black-box model) – Block area and timing budgets – Feasibility studies (power, clock etc.) – Performance analysis  Entry Criteria – RTL 33% coded – Verification setup completed  Exit Criteria – FE: 75% RTL coded (90% of these paths meet synthesis timing) – Verification: 33% of design qualified – BE: Block sizes and pin assignment (*) Similar criteria for circuit design, software…
  • 9. 9June 2003 Base 1 / Synthesis  Focus – Synthesis to meet budgets – RTL completion – Block floorplan & placement based timing  Entry Criteria – 75% functionality coded – Verification: 33% of tests passing – 90% of paths meet synthesis timing budget  Exit Criteria – FE: 95% RTL coded – Verification: 90% of tests passing – BE: placement based timing meets within 10%
  • 10. 10June 2003 Base 2 / Physical Design  Focus – Post-route timing within 10% – RTL completeness – Stability of the design  Entry Criteria – 95% functionality coded, 90% functionality tested – Design meets synthesis timing budgets  Exit Criteria – 100% of functionality coded, 99% of functionality verified – Verilog coverage (FSM 95%, code 90%) – 90% paths meet post-route timing closure
  • 11. 11June 2003 Base 3 / Timing Closure  Focus – Post-route timing closure (no violations) – Close Physical Design of all blocks – Extensive random testing for corner cases  Entry Criteria – 100% functionality tested – All known bugs fixed – Freeze libraries, tool versions etc.  Exit Criteria – FE: primarily bug fixes as they arise • Migrate team members to other activity – BE: all blocks tapeout ready
  • 12. 12June 2003 Home Run / Tapeout  Focus – Chip Integration & Verification – Last minute ECO fixes – Tapeout !  Entry Criteria – All blocks are DRC/LVS clean – Blocks meet all requirements (timing, clock, power etc)  Exit Criteria – FE: 2 weeks of random testing with no bugs. – BE: Release GDSII to Foundry
  • 14. 14June 2003 A “Design Factory”  Several “assembly lines” (flow/team) – RTL / Verification / Software – Custom / Analog – Block Physical Design – Chip integration  Coupled by a “forklift” (formal releases) – Capture – Qualification
  • 15. 15June 2003 Logic Design  Synchronous inter-block interfaces  Margins inclusion into synthesis target period – Allows early detection of critical paths  appropriate micro-architecture trade-offs – Guarantees continuous timing closure  Ensures speedy convergence FE-BE  Nightly build of design for QA – Compile - lint - mini regression - metrics gathering  Provides constant monitoring of design (no-cost status updates)
  • 16. 16June 2003 Design Verification  Complementary test strategies – Standalone processor core verification (7K tests, ~350M random cycles) – Transaction-based chip-level verification • Atom processor core used as virtual machine – System-level verification (application code processing packets)  Plug & play: insertion of RTL blocks / entire design into line-card C-model – Early introduction of RTL into system-level simulations – System-level simulation & emulation of complete RTL design • Environment includes C-model of all coprocessors • RTL design runs line-card application code
  • 19. 19June 2003 Physical Design Flow  One-Button Gates-to-GDSII block flow  Best of Breed 15+ tools, 60+ steps  Single color-coded GUI  4 exit points – floorplan, place, route, signoff  Data & results captured, qualified and published on a web page
  • 20. 20June 2003 Design Dependent Knowledge user.params Project Knowledge global.params Design Process Knowledge templates Distributed File watchdog Milkyway Resource management .vg .DEF.LEF .spf .spef .sdc capsule cadence magma capsulecapsule synopsys Notification popup email web drill-down overview nightly regressions testcase 3 testcase 2 testcase 1 user interface Compute Server Farm licenses Block Flow
  • 21. 21June 2003 Correct-by-construction approach  Top-level Clock H-trees – Using tunable buffers to reach a 5ps skew  Noise avoidance and correction  Custom-designed power grid  Length based buffer insertion at top-level  Margin based timing closure  Area growth prediction
  • 22. 22June 2003  8GB GDSII  Impact of a change limited by Trusted Change Controls Chip integration
  • 23. 23June 2003 Bring-up  1300 functional tests passing on the first day  No bug found after one year of field- testing Development System
  • 24. 24June 2003 iAC 4Q01 iCL 1Q02 iPP 2Q02 iAP 2Q02 Conclusion  Early emphasis on quality significantly improved overall schedule  Margin-based approach enables monotonic convergence  Qualified deliveries at milestones to synchronize teams  Capture & Measure provide company-wide visibility into the project