SlideShare a Scribd company logo
1 of 11
Dual-ported RAM (DPRAM) is a type of random-access
memory that allows multiple reads or writes to occur at the same time, or
nearly the same time, unlike single-ported RAM which allows only one
access at a time.
Most of the dual-ported RAM are based on static RAM technology.
Why should you use Dual Ports?
•Dual- port RAM increases bandwidth (~2x SRAM).
•Dual-port RAM reduces design complexity.
•Dual-port RAM shortens time-to-market compared to alternative solutions.
•The address pins.
•Data lines.
•control pins.
•The address pins are unidirectional inputs to the device.
•Data lines are bidirectional.
•control pins are chip enable ( CE ), read/write (R or W ), and output
enable ( OE ).
•semaphore ( SEM ), interrupt ( INT ), and busy ( BUSY).
Table: Dual-Port RAM with a Single Clock.
Example:-Port Listing of a 64 bit x 8 bit synchronous, true dual-port RAM.
Arbitration
•The arbitration scheme depends on the address and the chip enable ( CE ) signal.
•Read/write operations use arbitration logic to maintain data integrity in situations in
which both ports access the same memory location.
Unequal Port Addresses.
Ports Camped on an Address.
Ports Accessing the Same Memory Location Simultaneously.
•Dual-port memories are used mainly for independent communication
between two processors.
•Dual-port RAMs are used in set-top boxes in which the board includes a
CPU in addition to other peripheral components, such as hard drives,
Internet interfaces, and infrared send-and-receive components.
•The main function is to transmit and store digital television programs.
•dual-port RAMs can be connected seamlessly to any of the popular
baseband or application processors in smart-phone devices.
Use of Dual-Port RAM in Mobile Devices.
•Asynchronous dual-port RAMs offer the reliable, high-speed data sharing
that is required between two devices, ensuring compatibility with networking
components, videoconferencing devices, graphics accelerators, and other
devices.
•Dual-port RAMs are available at multiple operating voltages (5 V, 3.3 V).
References
•https://www.cypress.com/file/46721/download.
•https://en.wikipedia.org/wiki/Dual-ported_RAM.
•https://www.maximintegrated.com/en/design/technical-documents/app-
notes/6/62.html.
•https://www.idt.com/us/en/products/memory-logic/multi-port-
memory/asynchronous-dual-port-rams.

More Related Content

What's hot

Spi master core verification
Spi master core verificationSpi master core verification
Spi master core verification
Maulik Suthar
 
I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)
Varun Mahajan
 

What's hot (20)

UVM Driver sequencer handshaking
UVM Driver sequencer handshakingUVM Driver sequencer handshaking
UVM Driver sequencer handshaking
 
Advance Peripheral Bus
Advance Peripheral Bus Advance Peripheral Bus
Advance Peripheral Bus
 
AMBA AHB 5
AMBA AHB 5AMBA AHB 5
AMBA AHB 5
 
AHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptxAHB To APB BRIDGE.pptx
AHB To APB BRIDGE.pptx
 
Spi master core verification
Spi master core verificationSpi master core verification
Spi master core verification
 
Amba presentation2
Amba presentation2Amba presentation2
Amba presentation2
 
Amba axi 29 3_2015
Amba axi 29 3_2015Amba axi 29 3_2015
Amba axi 29 3_2015
 
Pcie basic
Pcie basicPcie basic
Pcie basic
 
Ral by pushpa
Ral by pushpa Ral by pushpa
Ral by pushpa
 
UVM Methodology Tutorial
UVM Methodology TutorialUVM Methodology Tutorial
UVM Methodology Tutorial
 
Axi protocol
Axi protocolAxi protocol
Axi protocol
 
Apb
ApbApb
Apb
 
Pci express technology 3.0
Pci express technology 3.0Pci express technology 3.0
Pci express technology 3.0
 
Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...Verification of amba axi bus protocol implementing incr and wrap burst using ...
Verification of amba axi bus protocol implementing incr and wrap burst using ...
 
I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)I2C Bus (Inter-Integrated Circuit)
I2C Bus (Inter-Integrated Circuit)
 
Introduction to System verilog
Introduction to System verilog Introduction to System verilog
Introduction to System verilog
 
Synchronous and asynchronous reset
Synchronous and asynchronous resetSynchronous and asynchronous reset
Synchronous and asynchronous reset
 
System verilog assertions
System verilog assertionsSystem verilog assertions
System verilog assertions
 
System verilog important
System verilog importantSystem verilog important
System verilog important
 
Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)Serial Peripheral Interface(SPI)
Serial Peripheral Interface(SPI)
 

Similar to dual-port RAM (DPRAM)

Presentacion pujol
Presentacion pujolPresentacion pujol
Presentacion pujol
Dylan Real G
 
301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilog301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilog
Srinivas Naidu
 
Networking Hardware
Networking HardwareNetworking Hardware
Networking Hardware
isma ishak
 

Similar to dual-port RAM (DPRAM) (20)

Presentacion pujol
Presentacion pujolPresentacion pujol
Presentacion pujol
 
Hardware and networking detailed ppt
Hardware and networking detailed pptHardware and networking detailed ppt
Hardware and networking detailed ppt
 
Computer Hardware
Computer HardwareComputer Hardware
Computer Hardware
 
04 connector and components
04 connector and components04 connector and components
04 connector and components
 
Memory organization
Memory organizationMemory organization
Memory organization
 
Internal memory
Internal memoryInternal memory
Internal memory
 
computer architecture and organisation
computer architecture and organisationcomputer architecture and organisation
computer architecture and organisation
 
Basic Computer 208 part 2
Basic Computer 208 part 2 Basic Computer 208 part 2
Basic Computer 208 part 2
 
Peripherals
PeripheralsPeripherals
Peripherals
 
12429908.ppt
12429908.ppt12429908.ppt
12429908.ppt
 
MICROPROCESSOR,BASICS AND MEMORY CONCEPT
MICROPROCESSOR,BASICS AND MEMORY CONCEPTMICROPROCESSOR,BASICS AND MEMORY CONCEPT
MICROPROCESSOR,BASICS AND MEMORY CONCEPT
 
Cisco Unit 3 P1
Cisco Unit 3 P1Cisco Unit 3 P1
Cisco Unit 3 P1
 
Introduction to intel galileo board gen2
Introduction to intel galileo board gen2Introduction to intel galileo board gen2
Introduction to intel galileo board gen2
 
DIGITAL DESIGNS SLIDES 7 ENGINEERING 2ND YEAR
DIGITAL DESIGNS SLIDES 7 ENGINEERING  2ND YEARDIGITAL DESIGNS SLIDES 7 ENGINEERING  2ND YEAR
DIGITAL DESIGNS SLIDES 7 ENGINEERING 2ND YEAR
 
301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilog301378156 design-of-sram-in-verilog
301378156 design-of-sram-in-verilog
 
Chapter5 the memory-system-jntuworld
Chapter5 the memory-system-jntuworldChapter5 the memory-system-jntuworld
Chapter5 the memory-system-jntuworld
 
Computer Memory Finder
Computer Memory FinderComputer Memory Finder
Computer Memory Finder
 
motherboard.pptx
motherboard.pptxmotherboard.pptx
motherboard.pptx
 
Networking Hardware
Networking HardwareNetworking Hardware
Networking Hardware
 
Memory organization
Memory organizationMemory organization
Memory organization
 

Recently uploaded

Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdf
Kamal Acharya
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
ssuser89054b
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
Epec Engineered Technologies
 
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
AldoGarca30
 

Recently uploaded (20)

S1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptx
S1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptxS1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptx
S1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptx
 
Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdf
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
Generative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPTGenerative AI or GenAI technology based PPT
Generative AI or GenAI technology based PPT
 
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptxA CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
A CASE STUDY ON CERAMIC INDUSTRY OF BANGLADESH.pptx
 
Thermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - VThermal Engineering-R & A / C - unit - V
Thermal Engineering-R & A / C - unit - V
 
Standard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power PlayStandard vs Custom Battery Packs - Decoding the Power Play
Standard vs Custom Battery Packs - Decoding the Power Play
 
School management system project Report.pdf
School management system project Report.pdfSchool management system project Report.pdf
School management system project Report.pdf
 
Introduction to Data Visualization,Matplotlib.pdf
Introduction to Data Visualization,Matplotlib.pdfIntroduction to Data Visualization,Matplotlib.pdf
Introduction to Data Visualization,Matplotlib.pdf
 
A Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna MunicipalityA Study of Urban Area Plan for Pabna Municipality
A Study of Urban Area Plan for Pabna Municipality
 
AIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech studentsAIRCANVAS[1].pdf mini project for btech students
AIRCANVAS[1].pdf mini project for btech students
 
Moment Distribution Method For Btech Civil
Moment Distribution Method For Btech CivilMoment Distribution Method For Btech Civil
Moment Distribution Method For Btech Civil
 
Computer Networks Basics of Network Devices
Computer Networks  Basics of Network DevicesComputer Networks  Basics of Network Devices
Computer Networks Basics of Network Devices
 
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
Bhubaneswar🌹Call Girls Bhubaneswar ❤Komal 9777949614 💟 Full Trusted CALL GIRL...
 
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
1_Introduction + EAM Vocabulary + how to navigate in EAM.pdf
 
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...
💚Trustworthy Call Girls Pune Call Girls Service Just Call 🍑👄6378878445 🍑👄 Top...
 
457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptx
457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptx457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptx
457503602-5-Gas-Well-Testing-and-Analysis-pptx.pptx
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdf
 
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptxOrlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
Orlando’s Arnold Palmer Hospital Layout Strategy-1.pptx
 
Thermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.pptThermal Engineering -unit - III & IV.ppt
Thermal Engineering -unit - III & IV.ppt
 

dual-port RAM (DPRAM)

  • 1.
  • 2. Dual-ported RAM (DPRAM) is a type of random-access memory that allows multiple reads or writes to occur at the same time, or nearly the same time, unlike single-ported RAM which allows only one access at a time. Most of the dual-ported RAM are based on static RAM technology.
  • 3. Why should you use Dual Ports? •Dual- port RAM increases bandwidth (~2x SRAM). •Dual-port RAM reduces design complexity. •Dual-port RAM shortens time-to-market compared to alternative solutions.
  • 4. •The address pins. •Data lines. •control pins.
  • 5. •The address pins are unidirectional inputs to the device. •Data lines are bidirectional. •control pins are chip enable ( CE ), read/write (R or W ), and output enable ( OE ). •semaphore ( SEM ), interrupt ( INT ), and busy ( BUSY).
  • 6. Table: Dual-Port RAM with a Single Clock. Example:-Port Listing of a 64 bit x 8 bit synchronous, true dual-port RAM.
  • 7. Arbitration •The arbitration scheme depends on the address and the chip enable ( CE ) signal. •Read/write operations use arbitration logic to maintain data integrity in situations in which both ports access the same memory location. Unequal Port Addresses. Ports Camped on an Address. Ports Accessing the Same Memory Location Simultaneously.
  • 8. •Dual-port memories are used mainly for independent communication between two processors.
  • 9. •Dual-port RAMs are used in set-top boxes in which the board includes a CPU in addition to other peripheral components, such as hard drives, Internet interfaces, and infrared send-and-receive components. •The main function is to transmit and store digital television programs. •dual-port RAMs can be connected seamlessly to any of the popular baseband or application processors in smart-phone devices.
  • 10. Use of Dual-Port RAM in Mobile Devices.
  • 11. •Asynchronous dual-port RAMs offer the reliable, high-speed data sharing that is required between two devices, ensuring compatibility with networking components, videoconferencing devices, graphics accelerators, and other devices. •Dual-port RAMs are available at multiple operating voltages (5 V, 3.3 V). References •https://www.cypress.com/file/46721/download. •https://en.wikipedia.org/wiki/Dual-ported_RAM. •https://www.maximintegrated.com/en/design/technical-documents/app- notes/6/62.html. •https://www.idt.com/us/en/products/memory-logic/multi-port- memory/asynchronous-dual-port-rams.