SlideShare a Scribd company logo
1 of 30
Download to read offline
4797 MECHEL 829Z BALL BONDER (Bond guaranteed)
4798 Mech‐El 907 45° Feed Ultrasonic Wedge Wire Bonder w/ Heated Workholder
4799 Mech‐El 907 45° Feed Wedge Wire Bonder w/Heated Workholder (refurbished)
4800 MECH‐EL 909 WEDGE BONDER WITH BAUSCH & LOMB & UTI LOGICON 5C‐11
4801 Mech‐El 990 Deep Access 90° Feed Wedge Wire Bonder w/ Heated Workholder
4802 MECS OFH3000‐001 Wafer Prealigner Hitachi I‐900SRT 
4803 MECS UTC 350R ROBOT ARM WITH UTC 100A CONTROLLER 4 AXIS VAC. GRIP
4804 MECS UTV‐2500H‐SYSTEM Wafer Transport Robot System Controller CS‐7000 Used
4805 MECS UTX‐5000‐SYSTEM Wafer Transport Robot System Controller CS‐7000 Used
4806 MECS UTXN1311 Robot with 30 day warranty
4807 MECS/Cybeq/Asyst UTC800/UTC‐800 Wafer Handling Robot Arm
4808 Mega Fluid Systems MEGApure10SS Chemical Delivery System
4809 MEI 1204B BALL BONDER << 1 Year Warranty >>
4810 MEI 827 Wire Bonder
4811 MEI Marpet Mech‐El 709 Manual Eutectic Die Attach Bonder
4812 MEI/MECHEL 907 WEDGE BONDER (Guaranteed Bond)
4813 Meiden UA021/221H Industrial PC µPIBOC‐III Hitachi 2 WAVE EPD Controller M‐712E
4814 Meiden UA023/350A Lithius Career Station Controller TEL Tokyo Electron Used
4815 Meiden UA024/755H Industrial PC µPIBOC‐I Hitachi Operation Controller M‐712E
4816 MEIDEN UA035/131A / MEIDEN COMPUTER DUAL HD XP‐PRO UR033/013A 164GB / MEIDEN
4817 MEIDEN UA206/011S‐3000 / SCREEN P/N 2‐36‐7154 SLAVE TRANSFER CONTROLLER / MEIDEN
4818 MEIDENSHA Meiden UPIBOC‐ I Model UA026/811R Industrial Controller
4819 Meiki MHPC‐V‐300‐300‐1‐25 PCB Hot Lamination Press 300 x 300 mm 245 kN
4820 Melco Technorex EQ‐131AD‐D W/ Original Box, DNS/ KDNS PART
4821 Mellen Box Furnace, Model MTB12‐8X8X8‐1Z
4822 Mellen Box Furnace, Model MTB12‐8X8X8‐1Z
4823 Mellen Split Tube Furnace, Model SC11‐2X30‐1Z ‐TUBE FURNACE
4824 Mellen Split Tube Furnace, Model SV11‐3.25X24‐1Z ‐ TUBE FURNACE
4825 Mellen SV Split Tube Furnace, Model SV11‐5X72‐3Z LARGE 72" ** 6 FT LONG 3 ZONE**
4826 MELLES GRIOT 532R‐CNSR‐A01 / LASER EMITTER CONTROL UNIT / MELLES GRIOT
4827
MERCURY COM PCI02B2GH 910‐07061 / SGS PCB KLA 003099‐000 901‐40044R.1C XUV IMG / 
MERCURY COM
4828 METAPULSE 200 / TOOL RMP402 / RUDOLPH RESEARCH CORP
4829 Metcal Apr 5000 XL (latest model) rework station APR‐5000‐XL
4830 Metcal Apr 5000 XL latest model rework station APR‐5000‐XL ser.001534
4831 Metcal APR‐5000‐DZ Array Package Rework System
4832 METCAL Scorpion APR‐1200‐SRS BGA rework machine 12/2017
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 151 sales@semistarcorp.com
4833 METER Segmented Inspection Conveyor
4834 Metricom 2010M Dual Wavelength Prism Coupler Film Thickness Measuring System
4835 Metron A127669 POD Door Short Weldment Rev. C TEL Tokyo Electron New
4836 Metron EcoSys Marathon 8500 Gas Scrubber/Burn‐Wet Abatement Treatment System
4837 Metronelec Menisco ST60 Solderability Tester
4838 MEYER BURGER PRECISION SLICING SAWS AG TS3 used w/hyd truing grinder/MAG CHK
4839 MGE T100H‐5002‐5 / TOPAZ 100 / MGE
4840 MGS Rotary Pick and Place Machine Model RPP‐421
4841 Micrion FEI 9500 peabody Focused Ion Beam Stage
4842 Micro Automation 1100 Dicing Saw ‐ Overall Excellent Condition.
4843 Micro Memory Inc. MM‐6326 VME Mainframe Board KLA Tencor 712‐404561‐00
4844 Micro Point Pro MPP i5000D Dual Ball & Wedge Manual Wire & Ribbon Bonder
4845 Micro Sense ADE 6300 3" ‐ 12" / 300mm Wafer Thickness / KLA‐Tencor
4846 MICRO VISION 740‐300 / MICROVISION ROBOT / MICRO VISION
4847 MicroAssembly MAT 6400 Automatic Thermal Die Attach System/Bonder
4848 MicroAssembly Technologies 6497 Semiautomatic Die Bonder 752
4849 Microfocus CS100 90kv X‐ray machine used in examination of electronic assemblies
4850 MicroJoin B‐4200‐B SERIES
4851 MICROLINE / CLEANER ACCEL 220VAC 3P 70A / SPEEDLINE TECHNOLOGIES
4852 MicroManipulator 2230 Wafer Level Electromigration Prober 3 Station 6"
4853 Micromanipulator 300mm probe Station With Thermal Chuck, wafer prober
4854 Micromanipulator 450PM‐A prober
4855 Micromanipulator 6"Prober Mitutoyo Microscope Probe Station with Laser Cutter
4856 Micromanipulator 6000 4" Inch Prober Probe Station Refurbished 1 Year Warranty
4857 MicroManipulator 6000 4" Manual Prober/Micro Manipulator 100 mm
4858 Micromanipulator 6000 High Resolution Manual Wafer Prober2
4859 Micromanipulator 6000 Probe Station With 2 Manipulators (1 Yr Parts Warranty)
4860 Micromanipulator 6100 Prober w/ 5" Chuck, (4) Micropositioners, Dark Box
4861 Micromanipulator 6200
4862 MICROMANIPULATOR 6200 MANUAL PROBING STATION
4863 MicroManipulator 6200 Probe Station Ultrasonic TempChuk
4864 Micromanipulator 6200 prober,Refurb Free Ship,1 YEAR Warranty, University Discnt
4865 Micromanipulator 6200 prober,Refurb Free Ship,1 YEAR Warranty, University Discnt
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 152 sales@semistarcorp.com
4866 Micromanipulator 7000 LTE Prober Dark Box1
4867 Micromanipulator 8 inch 3000 Volt Prober Cascade Microtech Probes Refub Tek 371A
4868 Micromanipulator Co. Model 6000 Wafer Probe Station *clean and shiny!* PLS READ!
4869 Micromanipulator Manual Probe Station w/2‐Probes, 6" Hot Chuck, Dark Box
4870 Micromanipulator Model 8840 Manual Probe Station
4871 Micromanipulator Probe Station w/ Mitutoyo Microscope Head and Lenses
4872 MICRONTOME 99400‐00 / CASE CANON SPECIFIC MULTI RETIC / MICRONTOME
4873 Microprocess Technologies Avenger Basic 8 Wafer Spin Rinse Dryer
4874 Microsence ADE 6033T Wafer Tester
4875 Microsence ADE 6033T Wafer Tester Thickness Measuring Gauge Great Condition
4876 Microsence ADE 6033T Wafer Tester Thickness Measuring Gauge Great Condition
4877 MIDAS TECHNOLGY DL‐4 DE‐LIDDER FOR HERMETIC MICROELECTRONIC PACKAGES DELIDDER
4878 MILARA BROOKS EQUIPE PRI ROBOT ATM‐105‐1‐S‐CE 6‐0002‐1353‐SP WAFER HANDLER 
4879 Milara PRE201 Brooks 6‐0000‐1406‐SP Pre‐Aligner
4880 Milara SemiTouch ST2929 semi automatic stencil screen printer See Video!
4881 Milara ST‐2929 Semi‐Automatic Screen Printer
4882 MILLI‐Q, INTEGRAL WATER SYSTEM, WITH DISPENSER AND STORAGE TANK
4883 Mill‐Max 1028‐1‐05‐00‐00‐00‐01‐0 Standard Wire Wrap Terminal Pin
4884 Mini Automatic Benchtop Pick and Place Machine with Vision Works to 0201, LED
4885 Mint ‐ Axcelis Fusion M150PC Photostabilizer ‐ to 150mm / Refurb / 6 mo. wrty
4886 Mint Buehler Simplimet 2 Specimen Mounting Press / 1.5" Mold /Heater / 6 mo wrty
4887 Mint Gigatest GTL 4040 Precision Large Area Probe Station ‐ Full Config‐Warranty
4888 Mint N&K Analyzer System ‐ Model 1500 N&K Analyzer / Refurbished/ 4 Mo Wry
4889 Mint USI Ultron UH130 Die‐Matrix Expander/ 5" Wafer/UH 130 /Full 4 Mo. Warranty
4890 Mint! Nikon V20A Optical Comparator ‐ Full warranty ‐ 5x,20x,50x ‐ 4 Month Wrty
4891 Mint! Ohashi CAJ‐11 Tabletop Chip Aligner for LCD or FDC Refurbished / Warranty
4892 Mint! Palomar 2460‐V Automatic Thermosonic Hybrid Gold Ball Bonder/4 mo warranty
4893 Mint! Semitool 2300S Spin Rinser Dryer / PSC‐101 Controller w/ 4 Mo. Warranty
4894 Mint! Thermonics T‐2610BV / ThermoStream ATS 505 / 605 / ‐20C to 200C ‐ 6 mo wty
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 153 sales@semistarcorp.com
4895 Mint! Ultron USI UH201 Ultra Violet Curing System USI UH201 w/ 4 Month Warranty
4896 Mint!! Temescal CV‐6SL E‐Beam P/S with H.V & Gun Controls ‐ 4 month warranty
4897 MIRAE MR5500 MEMORY TEST HANDLER WITH MANUAL
4898 MIRRA Slurry / Chemical Pump Management Module Applied Materials AMAT
4899 MIRROR 2BN9‐5015‐000 MIRROR G1
4900 MIRROR BN9‐5015‐000 MIRROR
4901 MIRROR BN9‐5203‐00 LENS L7 LENS MIRROR 1
4902 Mirtec MV‐3L 2010 5MP 5 camera AOI Automated Inspection
4903 Mirtec MV‐7U AOI System
4904 MIRTEC MV‐7U AOI, MV 7 Series In‐Line AOI Machine, Mirtec AOI
4905 Mirtec MV7‐Xi Automated Optical Inspection System ‐ AOI
4906 Mirtec MV‐7Xi In‐line AOI machine, 5 Camera, 2008
4907 MITS AutoLab PCB Prototyping System With Camera w/9 tools
4908
MITSUBISHI  5‐39‐20231 / ROBOT MITSUBISHI (RV‐E14NHC‐SA06) / DNS / MITSUBISHI RV‐E14NHC‐
SA06
4909 MITSUBISHI 407‐355 / PAPER SET FOR COLOR VIDEO COPY PROCESSOR; MODEL CK2000BL
4910 Mitsubishi CO2 Lazer Processing System ‐ Lazer Cutting. Looking for swift sale.
4911 Mitsubishi MWX3 612 Used CNC WaterJet Fabrication
4912
MITSUBISHI N2/IPA BUBBLER, MITSUBISHI E300 TYPE 04300, ME1SEC, PEPPERL+FUCHS 2779, 
VERIFLOW
4913
MITSUBISHI RV‐18SC‐S07 / MELFA TRANSFER ROBOT TEL EXPEDIUS PLUS (CR3‐535‐S07) / 
MITSUBISHI
4914 Mitsubishi RV‐E14NHC‐SA06 Wafer Transfer Industrial Robot Tokyo Electron TEL
4915 MITSUBOSHI DIAMOND ME‐1099 FLAT PANEL DISPLAY GLASS SCRIBER XY ROTARY STAGE
4916 MIYACHI UNITEK 15 KVA AUTOMATED 6 STATION PIN WELDER / SPOT WELDER 275K NEW
4917 MIYACHI UNITEK 15 KVA AUTOMATED 6 STATION PIN WELDER / SPOT WELDER 275K NEW
4918 MIYACHI UNITEK ISA‐2000 CR INVERTER POWER SUPPLY SPOT WELDING OR FUSING
4919 Miyachi Unitek Micropull V Wire Bond Pull Tester (MP5/DL, 6‐105‐02)
4920 MJC Probe Incorporation Wafer Prober / Probing Station LEDA‐8F‐3G
4921 MKS 123714‐G1 Flow Verifier, GBROR InSitu, 452783
4922 MKS 137746‐G1 / MKS CONTROLLER‐GBROR INSITU FLOW VERIFIER (54‐123388A15) / MKS
4923 Mks 600 Series Pressure Controller Model 651C‐16106
4924 MKS 750W Generator and AE 1013‐L35Z Matching network with laptop
4925 MKS 750W RF Plasma Generator ELITE 750FP‐01 Air Cooled
4926 MKS AS1003‐14 Chamber Controller AMAT 0090‐06456, 0090‐02527 PCB, 452420
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 154 sales@semistarcorp.com
4927 MKS Astex Astron HF AX7640 Remote Plasma Source / Reactive Gas Generator
4928 MKS Astex AX2630LRC3‐S Mwave Match for 2300 Versys Kiyo Microwave Strip System
4929 MKS ASTEX AX3060PSK‐1 SMART MATCH
4930 MKS ASTeX AX8300A Ozone Generator AMAT Applied Materials AX8000 AX8300QTI
4931 MKS ASTeX AX8500 Ozone Delivery System AX8555 W/ 2 x AX8560 Generator & Montor
4932 MKS ASTeX AX8561‐205H O3MEGA Omega Integrated Ozone System
4933 MKS Astex Ozone Delivery System AX8555‐13051‐16BV
4934 MKS ASTeX OZONE Generator, AX8407A
4935 MKS ASTeX R*evolution AX7690‐20, Rev H, RF Plasma Source / Generator, Used Pull
4936 MKS Astron Generator, AX7651 (Novellus FI20656‐1)
4937 MKS Astron RPS Remote Plasma Source, 452385
4938 MKS ASTRONhf+ AX7635‐02, Remote Plasma Source
4939 MKS AX7645PS‐01 RF Generator, ASTRONhf‐s, 27‐277368‐00, ASTeX, 452377
4940 MKS AX7700‐10
4941 MKS AX7700MTS‐01
4942 MKS AX7700MTS‐01 Paragon F* Intelligent Remote Plasma Source No Box*
4943 MKS B‐5002 Spectrum ENI RF Generator P/N: B‐5002‐06
4944 MKS B‐5002 Spectrum ENI RF Generator P/N: B‐5002‐11051
4945 MKS BARATRON CAPACITANCE MANOMETER
4946 MKS BMT 930 Ozone Monitor *new surplus, 90 day warranty*
4947 MKS ENI ACG‐6B‐07 / RF GENERATOR RF OUTPUT 800W 13.56 MHZ / ENI
4948 MKS ENI GEW Series RF Power Generator 3.5kW 25.764‐28.476 MHz GEW3527‐3U 08019
4949 MKS ENI GHW‐50 RF GENERATOR‐ GHW50A‐13DF3L0‐006 
4950 MKS ENI GHW‐50 RF GENERATOR‐ GHW50A‐13DF3L0‐006 
4951 MKS ENI GHW‐50 RF GENERATOR‐ GHW50A‐13DF3L0‐006 
4952 MKS ENI GHW‐50 RF GENERATOR‐ GHW50A‐13DF3L0‐006 
4953 MKS ENI GHW‐50 RF GENERATOR‐ GHW50A‐13DF3L0‐006 
4954 MKS ENI OEM‐2000 13.56 MHz RF Power Supply Refurbished w/ warranty
4955 MKS ENI Spectrum 3013‐5 RF Generator B‐3013 RF Generator **
4956 MKS ENI Spectrum B 3013‐05 RF Generator w/ CEX Power Supply 3kW 13.56 MHz Tested
4957 MKS FI20162‐1 3.0 Kw MAG HEAD
4958 MKS GBR2B23CR100 GBROR In Situ Flow Verifier, AMAT 1040‐00161, 452697
4959 MKS GBR2B23CR100 GBROR In Situ Flow Verifier, Kit, AMAT 1040‐00161, 452696
4960 MKS Generator EDGE 400kHz R210R4A‐15044 PN: 660‐210103‐100
4961 MKS HPQ high pressure RGA cables supply software uhv vacuum to 80 mtorr! SRS
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 155 sales@semistarcorp.com
4962 MKS HPQ high pressure RGA cables supply software uhv vacuum to 80 mtorr! SRS
4963 MKS HPQ2 High Pressure Residual Gas Analyzer w/ Process Eye Software SRS RGA
4964 MKS HPQ‐IP RGA Residual Gas Analyzer Assembly w/ Granville Phillips Gauge
4965 MKS Instruments ASTeX FI80131 ASTRONe Industrial Remote Plasma Source RPS
4966 MKS LVF3560A‐10B‐05 / LAM PN 660‐072826‐200 /60 MHZ GENERATOR / PE 208V/MKS
4967 MKS LVG3560A‐11BA‐05 60MHz Generator LVG3560A 660‐072826‐625
4968 MKS MicrovisionIP RGA Residual Gas Analyzer
4969 MKS Model GEW‐3540 REV 00J RF Power Generator, ES2L39‐000005‐23, 3500W 40.68MHZ
4970 MKS OPT‐200A‐06 Optima DC Plasma Power Supply System & Accessories 622762 Tested
4971 MKS Ozone generator Model AX 8560
4972 MKS PBMS2A Portable Baratron Measurement System PN: PBMS2A0111B82, 1 & 10 Torr
4973 MKS PBMS2B / PORTABLE BARATRON MEASUREMENT SYSTEM / MKS
4974 MKS PBTS1A01UB2 Portable Pressure Tester, Type 670BD21, w/ Baratron 417170
4975 MKS PC87927 / OZONE SYSTEM CONTROLLER CHASSIS ASTEX BACKPLANE ASSEMBLY / MKS
4976 MKS PICO LEAK DETECTOR MSVAH160000 MASS SPECTROMETER
4977 MKS RPG‐50A / RPDG‐50A‐00 AC IN‐3/PE‐200‐208V +/‐ 10% 30A 50/60HZ OUT 5KW / MKS
4978 MKS SA88988‐01 / OZONE GENERATOR POWER DISTRIBUTION UNIT 120VAC/TOTAL 4.0A / MKS
4979 MKS turnkey mobile RGA cart with oil free pumping, computer software inficon / mks
4980 MKS UTI QUALITORR REMOTE STATION CONTROLLER RACK APPLIED MATERIALS
4981 MKS Vacuum Gauge Calibration and Verification System
4982 MKS‐AX7650‐ ASTEX REACTIVE GAS GENERATOR
4983 ML3475A‐ASM / SERVO MOTOR / PARKER
4984 Modular Process Technology RTP‐600S Rapid Thermal Processor for 6" Wafer
4985 Modutek Waste Collection Cabinet, 55 gallon drums, qty 3 capacity, new condition
4986 Modutek Waste Collection Cabinet, 55 gallon drums, qty 3 capacity, new condition
4987 MOELLER/EATONNZMN3‐AE400, Circuit. Breaker. 
4988 MoldMan 2017 MoldMan Model 1050 Low Pressure Molding Machine ‐ Electronics Overmolding
4989 Molecular Beam Epitaxy System by Omicron MBE Epitaxial
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 156 sales@semistarcorp.com
4990 Molybdenum Puck Surfscan 6200 End Effector Surf KLA Tencor 0277852‐000
4991 Mosaid MS4155 PC Memory Test System
4992 Motorola 01‐W3394F04C Single Board Computer PCB Card Nikon NSR‐S205C Spare
4993 MOTOROLA BGA MSA‐250‐A PLUS / BALL MOUNTER / MOTOROLA
4994 Motorola MVME162P‐344E MVME PCB, FAB 84‐W8528F01D, MVME162 P344E, 452576
4995 MP6‐2Q‐1E‐4LL‐4NN‐00 / POWER SUPPLY ASSEMBLY,5,12,15,24V 18‐016312 / ASTEC
4996 MPI Corp. LEDA‐8F 3G Plus‐V 110 LED Prober Station
4997 MPI Corp. LEDA‐8F E3G Plus Led Prober (Made in 10/2012)
4998 MPI DAVUM TMC HG7900 / KENKO KTS‐100RSV SMD HOT GAS WORK STATION
4999 MPM Accuflex Screen Printer SMT, PCB W/ 2D Inspection
5000 MPM Accuflex Screen Printer SMT, PCB W/ 2D Inspection
5001 MPM Corp. SP‐1500 Automated Screen Printing Machine
5002 MPM Corp. SP200 Semi Automatic Automated Screen Printing Machine
5003 MPM Momentum Screen Printer
5004 MPM P/N 1005434‐01 FORCER Y LEFT FOR AP SERIES
5005 MPM P/N 1005434‐02 FORCER Y RIGHT FOR AP SERIES
5006 MPM P/N 1005434‐03 FORCER X AXIS AP SERIES
5007 MPM P/N 1008424 Motor, Vision Y, Assy. For the UP1500/Accuflex
5008 MPM SP‐1500 Screen Printing Automated Machine
5009 MPM SP‐1500 Screen Printing Automated Machine 115V 2093
5010 MPM SP‐1500 Screen Printing Automated Machine 115V 2287
5011 MPM SP‐1500 Screen Printing Automated Machine 115V 3010
5012 MPM SP‐1500 Screen Printing Automated Machine 115V 3038
5013 MPM SP‐1500 Screen Printing Automated Machine 115V 3205
5014 MPM SP‐1500 Screen Printing Automated Machine 115V 3349
5015 MPM Speedline 125 2008 Automatic screen printer momentum
5016 MPM Speedline 2011 Momentum Elite Screen Printer, Edgeloc #100186
5017 MPM Speedline Momentum Elite Screen Printer 12/2011, 2D, Quktool
5018 MPM SPM Semi Automatic Stencil Printer
5019 MPM UP2000 Printer Universal GSM Pick and Place Heller 1500 PCB SMT Line
5020 MPM UP2000 Stencil Printer Automatic Ultraprint SMT PCB Screen PC Board
5021 MPM UP2000 Stencil Printer PC Board SMT BGA Solder PCB Fully Automatic Screen
5022 MRC 822 Sputter System. Looking for a swift sale, please make offer, Motivated
5023 MRC 8667 A Sputtering System CTI Cryo‐Torr 8 Cryopump Vacuum
5024 MRC 902 Sputtering System, 2 Targets
5025 MRC 943 Sputter System. Looking for a swift sale, please make offer, Motivated
5026 MRC A120340/RMA‐10 MAGNET ASSY/MRC
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 157 sales@semistarcorp.com
5027 MRC ECLIPSE SOFT ETCH PROCESS KIT 4653879‐0002
5028 MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. G Eclipse Star Used
5029 MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. G Eclipse Star Used
5030 MRC Materials Research A120024 Sputtering System Remote Stand Eclipse Star Used
5031 MRC Materials Research A120946 Chamber Assembly Eclipse Star 
5032 MRC Materials Research Corp A120024 Sputtering System Remote Stand Rev. B Used
5033 MRC Materials Research Corp A120024 Sputtering System Remote Stand Rev. B Used
5034 MRC Materials Research Corp A120024 Sputtering System Remote Stand Rev. C Used
5035 MRC PVD Metal and PVD oxide Model 693
5036 MRI Disc storage Hard Drive Hitachi Hard Drive: HITACHI: DK312C‐25 
5037 MRL 150 mm single stack oxidation furnace
5038 MRL 150mm 200mm Lab Sandvik MRL Diffusion Anneal Furnace, Used, Tested and Working
5039 MRL 200 mm 2 stack furnace oxide, load station, furnace source cab, semy control
5040 MRL 300mm 3 Zone Single Tube Semiconductor Furnace
5041 MRL 4 Stack Horizontal Furnace, 200 mm, Soft Close, Rapid Cool, Sandvik Controls
5042 MRL INDUSTRIES ‐ 0440786088 ‐ ATM AZTEC HELIX ‐ BLACK MAX
5043 MRL INDUSTRIES 4600819112 REV 2 CORE, BLACK MAX FURNACE HEATER ELEMENT EXT NG 34
5044 Mrl Industries Mini Stackable Furnace Four Tubes Model 908
5045 MRL Model 14TC45 5 Zone Reflow Furnace
5046 MRL Sandvik Cyclone 430 diffusion furnace, loadstation, source cabinet, tmx
5047 MRSI 505 Flip Chip Pick & Place Eutectic Die Attach Bonder Waffle Pack Tray
5048 MRSI 505 Flip Chip Pick & Place Work Cell Assembly Die Bonder System
5049 MRSI‐170 G GANTRY AUTOMATIC LIQUID DISPENSING SYSTEM
5050 MSC 49" x 9" Table Variable Speed 3 HP Ph Knee Mill Machine R8 Spindle Taper
5051 MTEK Corp / Semitool / STI, SRD, Stand Alone, Single. Spin/Rinse/Dry
5052 MTEK Corp /Semitool SRD, Model 870, Dual Stack with upgraded Thornton Controller
5053 MTI INSTRUMENTS PROFORMA 300
5054 MTI INSTRUMENTS PROFORMA 300 G Wafer Thickness Gauge up to 300 mm Silicon Wafer
5055 MTI Muto Technology MT‐49949 Sput #1 TiW Shield Kit MRC D123611‐A New
5056 MTI Muto Technology MT‐49950 Sput #2 TiW Shield Kit MRC D123611‐A New
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 158 sales@semistarcorp.com
5057 MTI Muto Technology MT‐49951 Sput #3 Cu Shield Kit MRC D123611‐A Copper New
5058 MTS 01 Acid Manual Wet Bench
5059 MTS 840 VIBRATION TEST SYSTEM 44"x36"x3" TABLE HYDRAULIC+15HP 480V MOTOR+458.20
5060 MTS Nano Indenter SA2 System + Control Unit | Computers | Vibration Table MORE
5061 MTS Solvent Bench
5062 Multicam 2012 Multicam Series 3000 6' x 12' WATERJET CUTTING, Fabrication Ref # 7800442
5063 MultiProbe MultiScan Atomic Force Probe Incomplete For Parts As‐Is
5064 MultiTest MT 8704 i IC Test Handler, Working, SOIC 150 & 300 mil Change Kits
5065 Multitest Multitest Elektronische Systeme GmbH P/N 49‐18‐18‐00
5066 MV Systems Dual Chamber PECVD for aSi/cSi/cGe Deposition
5067 MVS 01‐18‐01120 / MVS CONTROLLER PRISM CONTROL / ULTRATECH 01‐18‐01120 MVS
5068 MWD‐55LD‐01, 3D80‐000076‐V4 / AUTOMATCH,13.56 MHZ, 100‐220V 50‐60HZ / TEL
5069 MWH‐5‐01M3 / AUTOMATCH / ENI
5070 MX40F / MICROSCOPE / OLYMPUS
5071 Mydata / Electro‐Design EP785 Board Inverter / 180 Degree
5072 MyData AGILIS LM1216 Magazine Mycronic L‐014‐1562
5073 MyData AGILIS LM8 Magazine Mycronic L‐014‐1561
5074 MYDATA AGILIS M8 Feeder Magazines L‐014‐1471 + 16 4.7 yellow
5075 MyData K‐013‐0031B X‐Belt Motor + Flexible Joint + X‐motor transducer
5076 MyData MY12E Pick & Place + Hydra (2006)
5077 Mydata MY19 SMT Placement System
5078 MYDATA MY500 Control HUB L‐039‐0031
5079 MyData MY500 Jet Printer (2013)
5080 MyData MY9E Pick & Place w/ Hydra (2007)
5081 MyData MyCronic 3 Bay TRAY WAGON MAGAZINE L‐025‐0074B
5082 MYDATA Mycronic MY12, T3, Midas, Dual Vision, Agilis capable, TPSyS 2.9 software
5083 MYDATA Mycronic MY12E 2008, TPSyS 2.9.11 software, Hydra, Linescan, T3 table
5084 MYDATA MYCRONIC MY19E pick and place, T3, TPSys 2.9.11, Linescan
5085 MyData Pick and Place Machine MY12E
5086 MyData TEX tray exchanger feeder L‐024‐0100B
5087 MyData TM8FC Magazine ‐ Part # L‐140‐21C
5088 Mydata TP9‐2 AUTOMATION VISION SYSTEM
5089 MyData Transfer Conveyors
5090 MyData TRAY WAGON MAGAZINE 2 MODULE TRAY
5091 Mydata/Mycronic Tex Tower Tray Exchanger
5092 Mydata/Mycronic Tex Tower Tray Exchanger Part # P‐024‐0100B
5093 Mydax 1VLH7WA Chiller *, 90 day warranty*
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 159 sales@semistarcorp.com
5094 Nanoimprint equipment with automated template delivery, 50 mm to 150 mm, thermal
5095
NANOMETRICS 7000‐023212 0240‐49130 / NANO OCD 9010B INT MET / NANOMETRICS0190‐39713 
AMAT
5096 NANOMETRICS 7000‐029708 7200‐022943 / 7200‐026890 9010 LITHOS PRO / NANOMETRICS
5097 Nanometrics 7000‐033895 IMPULSE Integrated Metrology Chuck Aare 565 094 329
5098 Nanometrics 7000‐033895 IMPULSE Integrated Metrology OCD & Film Analysis System
5099 Nanometrics 7201‐1267 Wafer Inspection/Measuring Station W/Newport Table
5100 Nanometrics 8300X Thin Film Metrology Tool
5101 NANOMETRICS 9100 NANOSPEC 9100 MINI Z‐STAGE 9407‐010743 N‐2000‐1000‐02
5102 Nanometrics Nanospec 6100 Table Top Film Analysis System ‐ Full Warranty
5103 Nanometrics NANOSPEC 9000I 300MM Wafer Integrated Film Analysis System
5104 National Electronics MH3.0W‐SLA/2‐A Microwave Magnetron Head
5105 National Instruments NI cDAQ‐9184 CompactDAQ Chassis w/ NI 9211 NI 9213 NI 9234
5106 Neat 330UP Programmable Motion Controller w/ Stage
5107 NEAT XYZ 3‐Axis Precision Stage Wafer inspection New England Affiliated Danaher
5108 NEAT XYZ 3‐Axis Precision Stage Wafer inspection New England Affiliated Danaher
5109 NEC FC‐9801B / MODEL‐2 INDUSTRIAL COMPUTER 80A WITH EXCHANGE / NEC
5110 Neoden 4 PnP Machine w/ Vision, Manual Solder Printer and T5 Oven Included
5111 Neoden 4 SMT Pick and Place Machine with Vision (pre‐paid tariffs)
5112 Neoden IN6 Reflow Oven ‐ Deluxe (w/ stand, two filter sets)
5113 Neoden IN6 Reflow Oven, standard tabletop model ‐ FREE SHIPPING
5114 Neoden T5L SMT Reflow Oven (pre‐paid tariffs)
5115 Neslab  Chiller Neslab HX+300, Water cooled. Tested and certified with warranty.
5116 NESLAB 390299071615 / CHILLER HX 300 WC D3 CMP / NESLAB
5117 NESLAB 392205051706 /HX750 HX+750W CHILLER NESLAB / THERMOFISHER SCIEN CP‐75 208VAC
5118 Neslab CFT‐25 Chiller Coolflow Refrigerated Recirculator 15GAL Water Tank 422613
5119 NESLAB CFT‐25 Refrigrtd Recirc Chill;Leroy Somer LS90;Galileo TP D045;Leybold...
5120 Neslab Chiller Neslab HX+300, Water cooled. Tested and certified with warranty.
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 160 sales@semistarcorp.com
5121 Neslab HX 750 Recirculating Chiller Thermo Fisher Scientific HX‐750W TU‐9 452369
5122 Neslab HX+150W, Water cooled chiller. Clean. Tested and certified with warranty.
5123 Neslab HX‐150 Recirculating Chiller
5124 Neslab HX300 Recirculating Chiller, 390299071602, 199089038, 396375
5125 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452517
5126 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452518
5127 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452519
5128 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452520
5129 NESLAB STEELHEAD 1 Used
5130 NESLAB STEELHEAD‐0
5131 Neslab ThermoFlex 5000 Recirculating Chiller, Thermo Fisher Scientific, 452522
5132 NESLAB, COOLFLOW REFRIGERATED RECIRCULATOR MODEL HX‐300
5133 Neutronix Quintel 7000 High Resolution Mask Aligner
5134 NEUTRONIX QUINTEL 7000 HIGH RESOLUTION MASK ALIGNER (REFURBISHED)
5135 Newport 1000W ARC Lamp With Power Supply & Cables 92514‐1000 & 69920
5136 NEWPORT ILS150PP WITH NEWPORT VP‐5ZA
5137 Newport MM4006 Motion Controller, AC Autorange 100‐240 Vac, 47/63Hz, Input: 600V
5138 Newport MM4006 Motion Controller, AC Autorange 100‐240 Vac, 47/63Hz, Input: 600V
5139 Newport Research Corp (NRC) Optical / Vibration Isolation Table 96" x 48" 6"
5140 NEWPORT ROI "DATA STAR" VISION METROLOGY SYSTEM
5141 NEWPORT ROI "DATA STAR" VISION METROLOGY SYSTEM
5142 NEWPORT ROI "DATASTAR" VISION METROLOGY SYSTEM AND ROI AUTOCHECK
5143 Newport ROI Data Star optical CMM
5144 NEWPORT TWI 45‐021480 / THERMA‐WAVE 5241 X‐Y‐Z STAGE, 1302, 2601, OPTI‐PROBE / NEWPORT
5145 Nextec Hawk DS100 3D Inspection Technologies
5146 Nextest Maverick 2 PT Maverick PT‐HF Bitemap Tester **
5147 NF Corp/Ulvac Ulcoat Kit‐24489 0.5‐2 Hz 200 V 16 kVA Magnet Coil Power Supply
5148 NG‐OK DUAL SMT Magazine Unloader
5149 Niagara E110S, 120 Ton OBI Press Fabrication Ref # 8039271
5150 Niagara E‐250‐S 275 Ton OBI Press Fabrication Ref # 8068116
5151 NIBCO 922275‐003 CFS Rev.1, PVC‐I NSF‐PW D2467 8", Valve, Assembly. 417047
5152 NICHIYO ENGINEERING CORP. 07030 UNIT OZONE KILLER, MODEL KCM‐480G , 07030
5153 NICOLET GR‐X160 / GEN RAD GR‐160XL NICOLET 166‐0208 00
5154 Nicolet Instrument ECO8S FT‐IR Infrared Spectrometer Used
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 161 sales@semistarcorp.com
5155 NICOLET NXR‐1400 X‐RAY SYSTEM MACHINE WITH PRINTERS
5156 Nidek FT‐11 Horizontal Loading Flatness Tester Checker Tested Not Working As‐Is
5157 Nihon Koshuha AMC‐39D‐4502A‐00 450MHz RF Automatching Tuner Clayton Manual Tuner
5158 Nihon Koshuha AMC‐39D‐4502A‐00 450MHz RF Automatching Tuner with Manual Tuner
5159 Nihon Koshuha MBA‐010‐H‐2 1kW RF Matching Box Assembly 
5160 Nikon 1st Relay Unit MAN‐D34A10B NSR‐S307E DUV Scanning System BMU Unit Used
5161 Nikon 1st Relay Unit MAN‐D34R10B NSR‐S205C Step‐and‐Repeat Exposure System Used
5162 Nikon 200mm Wafer Prealigner Assembly 2S013‐076 OPTISTATION 3 
5163 Nikon 200mm Wafer Prealigner Assembly OPTISTATION 3 Incomplete No PCB Used
5164 NIKON 240‐SF STEPPER SCANNER RETICLE MOTOR ASSEMBLY‐ CHIBA AND HARMONIC SERVOS
5165 Nikon 2FE 2nd Fly's Eye Lens SHRINC Revolver NSR‐S204B 
5166 Nikon 2nd Group Zoom Lens NSR‐S205C Step‐and‐Repeat Exposure System BMU Used
5167 Nikon 2nd Relay Front Optic Lens NSR‐1755G7A Step‐and‐Repeat G‐Line System Used
5168 Nikon 2nd Relay Front Optic Lens NSR‐S204B Step‐and‐Repeat System 
5169 Nikon 2nd Relay Rear Optic Lens NSR‐1755G7A Step‐and‐Repeat G‐Line System Used
5170 Nikon 2nd Relay Rear Optic Lens NSR‐S204B Step‐and‐Repeat Scanning 
5171 Nikon 2S700‐664 Prealigner Optical Sensor Assembly 2S700‐536 OPTISTATION 3 Used
5172 Nikon 300mm Pin Chuck 12 Inch NSR‐S306C 300mm DUV Scanning System 
5173 Nikon 300mm Pin Chuck NSR‐S205C Step‐and‐Repeat Exposure System 
5174 Nikon 4B041‐417 WT Voice Coil Motor VCM NSR‐S306C DUV System 
5175 Nikon 4B041‐418 WT Voice Coil Motor VCM NSR‐S306C DUV System 
5176 Nikon 4B041‐419 WT Voice Coil Motor VCM NSR‐S306C DUV System 
5177 Nikon 4B701‐247 Nozzle Return NSR‐S620D ArF Immersion Scanner System Used
5178 Nikon 4B990‐218‐1 Reticle Blind Unit NSR
5179 NIKON 4B990‐967AN ‐ S202+ (NSX) Reticle
5180 Nikon 4B991‐187‐1AN ARB Blinds Unit 4S013‐311 4S013‐312 NSR‐S204B Untested As‐Is
5181 NIKON 4B991‐391AN / SHOCK ABSORBER (RFC) / NIKON
5182 Nikon 4G680‐526AN RPF 2% Concave NSR‐S204B New
5183 Nikon 4G680‐674AN RPF 1W FORM NSR‐S204B New
5184 Nikon 4G746‐012 TTLFC2 Plate NSR‐1755G7A Step‐and‐Repeat G‐Line System Working
5185 Nikon 4G746‐042 Wafer Stage Fiducial Plate NSR‐S202A System 
5186 Nikon 4G746‐049 Wafer Stage Fiducial Plate NSR‐S204B System 
5187 Nikon 4G746‐061‐1 Wafer Stage Fiducial Plate NSR System 
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 162 sales@semistarcorp.com
5188 Nikon 4G746‐083‐1 Wafer Stage Fiducial Plate NSR System 
5189 Nikon 4G746‐090 AIS/BFP Plate NSR‐S306C DUV Scanning System 
5190 Nikon 4G746‐103 AIS/BFP Plate NSR‐S307E 300mm DUV Scanning System 
5191 Nikon 4G746‐103‐2 AIS/BFP Plate NSR System 
5192 Nikon 4G746‐201 Wafer Stage Fiducial Plate NSR System 
5193 NIKON 4K151‐040‐1AN / CHEMICAL FILTER (OA) 2 / NIKON
5194 Nikon 4K171‐841‐2 Power Controller RR‐002V1.3 NSR‐S202A 
5195 Nikon 4K171‐841‐2 Power Controller RR‐002V1.3 NSR‐S202A 
5196 Nikon 4K171‐841‐3 Controller NSR‐S202A 
5197 Nikon 4K171‐841‐3 Controller NSR‐S202A 
5198 Nikon 4K171‐841‐3 Controller NSR‐S202A 
5199 Nikon 4K177‐955‐2 Power Controller 4S587‐244 NSR‐S204B Step and Scan Used
5200 Nikon 4K177‐955‐2 RD Reticle Transfer Robot NSR Stepper Series 
5201 Nikon 4K177‐955‐2 RD Reticle Transfer Robot with End Effector NSR‐S204B Used
5202 NIKON 4K177‐955‐4 / RETICLE TRANSFER ROBOT NSR‐S204B SCANNING SYSTEM / NIKON
5203 Nikon 4K191‐632‐1 RH Reticle Transfer Robot NSR‐S306C Used
5204 Nikon 4K577‐176 Integrator Sensor NSR‐S204B Step‐and‐Repeat System Non‐Copper
5205 Nikon 4K577‐176 Integrator Sensor NSR‐S306C DUV System 
5206 Nikon 4K577‐177 Integrator Sensor NSR‐S202A Step‐and‐Repeat System 
5207 Nikon 4K578‐494 SHRINC Fly's Eye Box Revolver 4K578‐468 NSR‐S205C 
5208 Nikon 4K578‐494 SHRINC Revolver 4K578‐498 NSR‐S205C 
5209 Nikon 4K578‐992 SHRINC Revolver 4K578‐993 NSR‐S205C 
5210 Nikon 4L990‐797AN Prism Unit NSR Scanner 
5211 NIKON 4L991‐769AN/PREALIGNMENT2 DRIVE UNIT/NIKON
5212 Nikon 4L991‐783AN Depolarizer NSR‐S306C New
5213 Nikon 4S001‐102 IOP Control Unit NSR‐S205C Scanning System Working Spare
5214 Nikon 4S005‐378 Integrator Sensor 4S005‐378‐INTG‐X4 NSR‐S205C Exposure Used
5215 Nikon 4S007‐123  Analog/Digital Converter PCB Card LIA‐I/F NSR System Used
5216 Nikon 4S007‐146 Processor PCB Card RPEM‐PSD 4S007‐146  NSR System 
5217 NIKON 4S007‐174
5218 Nikon 4S007‐692‐1 Pre‐Align Assembly NSR‐S204B Step‐and‐Repeat System Used
5219 NIKON 4S007‐953‐A / RELAY BOARD PCB WL3MOT5 / NIKON
5220 NIKON 4S008‐043/ALG‐NAL PCB (REV‐B)/NIKON
5221 Nikon 4S008‐043‐A Interface Board PCB ALG‐NAL NSR‐S306C 
5222 Nikon 4S008‐043‐A Interface Board PCB ALG‐NAL NSR‐S307E DUV 300mm Used
5223 Nikon 4S008‐529‐1 Relay Communications Board PCB X6C‐EPBODY NSR‐S610C Spare
5224 Nikon 4S010‐002‐1‐  Processor PCB Card LIA‐CNT 4S015‐024‐1‐  NSR System Used
5225 NIKON 4S013‐360/MIS‐PACONX4 P.C.B./NIKON
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 163 sales@semistarcorp.com
5226 Nikon 4S013‐487 Interface Board PCB IFIOPIF3 VME Card 4S015‐259 NSR‐S307E Used
5227 Nikon 4S013‐487 Interface Control Board PCB IFIOPIF3 
5228 Nikon 4S013‐487 Interface PCB Card IFIOPIF3 VME Card 4S015‐259 NSR‐S205C Used
5229 Nikon 4S013‐840‐2 Interface Board PCB RSX8_I/F NSR‐S620D ArF Immersion Used
5230 Nikon 4S014‐001‐2‐  Processor PCB Card MTRCNTI 4S014‐001‐2‐C NSR System Used
5231 Nikon 4S014‐077 Motor Control PCB Card MTR‐CTRL NSR System Working Spare
5232 Nikon 4S014‐143 Control Board PCB LC‐CTL4 NSR‐S204B Step‐and‐Repeat 
5233 Nikon 4S015‐001‐1  Processor PCB Card NK862 4S015‐001‐1H NSR System 
5234 Nikon 4S015‐001‐1ⓘ Processor PCB Card NK862 4S015‐001‐1I NSR System 
5235 Nikon 4S015‐001‐  Processor PCB Card NK862 4S015‐001‐D NSR System 
5236 Nikon 4S015‐001‐  Processor PCB Card NK862 4S015‐001‐F NSR System 
5237 Nikon 4S015‐002 Processor PCB Card NK852 4S015‐026 NSR System 
5238 Nikon 4S015‐002 Processor PCB Card NK852 NSR 
5239 Nikon 4S015‐002 Processor PCB Card NK852 NSR System 
5240 Nikon 4S015‐068 CPU Processor Card PCB PPD‐CPU 4S017‐912‐A NSR‐S202A Used
5241 Nikon 4S015‐070 Processor PCB Card AWLCPU2 4S017‐556  NSR System 
5242 Nikon 4S015‐119 Control Rack OPD Chassis 4S001‐060 4S018‐716 NSR‐S307E Used
5243 Nikon 4S015‐164 Processor PCB Card NK‐C441‐1 4S015‐166‐2 NSR‐S307E 
5244 Nikon 4S015‐172‐1 Processor Card PCB NK‐C441‐1‐50 NSR‐S205C 
5245 Nikon 4S015‐172‐1 Processor Control Board PCB NK‐C441‐1‐50 
5246 Nikon 4S015‐184‐1 Processor Control Card PCB NK‐C443‐1 NSR‐S205C System Used
5247 Nikon 4S015‐192 Processor PCB Card NK‐C44‐60S NSR‐S307E DUV Scanning System Used
5248 Nikon 4S015‐261 Processor Control PCB Card NK‐C44‐60R 4S015‐286 NSR‐S307E Used
5249 Nikon 4S015‐499‐FP SBC Single Board Computer PCB Card STG61 NSR‐S620D Used
5250 Nikon 4S017‐107 Analog/Digital Converter PCB Card WL101 NSR System 
5251 Nikon 4S017‐237 Processor PCB Card EPDRV2 NSR System 
5252 Nikon 4S017‐526 Processor PCB Card LC‐DRV2 NSR System 
5253 Nikon 4S017‐702 Control PCB Card KENCTL NSR System Working Spare
5254 Nikon 4S017‐716 Backplane Board PCB PD‐MTH NSR System Working Spare
5255 Nikon 4S017‐983 VME Interface Control Card PCB VME‐I/F‐M NSR‐S204B 
5256 Nikon 4S018‐163 Control Board PCB SHRINC‐CTRL NSR‐S204B Step‐and‐Repeat Used
5257 Nikon 4S018‐163 SHRINC‐CTRL Control Card PCB NSR‐S202A System 
5258 Nikon 4S018‐351‐  Control Board PCB Card OPDCTRL2 NSR‐S202A Step‐and‐Repeat Used
5259 Nikon 4S018‐351‐  Control Board PCB Card OPDCTRL2 NSR‐S204B Step‐and‐Repeat Used
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 164 sales@semistarcorp.com
5260 Nikon 4S018‐354‐1 Control Board PCB AIRCTRL3 NSR‐S204B Step‐and‐Repeat Used
5261 Nikon 4S018‐378 Relay Control Board PCB MAC‐CTRL‐1 NSR‐S204B 
5262 Nikon 4S018‐403‐G Control Board PCB PPD3S NSR‐S204B Step‐and‐Repeat 
5263 Nikon 4S018‐708 Relay Driver Card PCB EPDRVX4 NSR‐S205C System 
5264 NIKON 4S018727 / IM‐COM PCB REV A / NIKON
5265 NIKON 4S018751/LMDRVX4 P.C.B./NIKON/XILINX
5266 NIKON 4S018774‐4/SP I F P.C.B./NIKON/XILINX
5267 NIKON 4S018778/RBT‐I/F P.C.B./NIKON
5268 Nikon 4S018‐935 Processor PCB Card EPDRVX4‐MOPT NSR‐S307E DUV Scanning Used
5269 Nikon 4S019‐138 Processor Interface Card PCB IOP‐I/F2 NSR‐S307E 
5270 Nikon 4S019‐645‐1 Processor Control PCB Card AFX6BD1‐MAIN NSR‐S620D ArF Used
5271 Nikon 4S020‐010‐E Analog/Digital Converter PCB Card AFDRV NSR System Used
5272 Nikon 4S020‐022 Processor PCB Card CNTRL‐1 NSR System 
5273 Nikon 4S020‐023A Processor PCB Card CNTRL‐2 NSR System 
5274 Nikon 4S020‐029  Processor PCB Card WGA‐I/F 4S020‐029F NSR System 
5275 Nikon 4S020‐104‐1 Analog/Digital Converter PCB Card WLEXPCTL NSR System Used
5276 Nikon 4S022‐001 SBC Single Board Computer PCB Card IF3X8‐2 NSR‐620D 
5277 Nikon 4S025‐128‐1 Control Board PCB X8RSSB_CTRL NSR‐S620D ArF Immersion Used
5278 Nikon 4S025‐282‐1A Interface Control Card PCB X8_WLIO NSR‐S620D 
5279 Nikon 4S025‐300‐1 Interface Board PCB X8_WLDB NSR‐S620D Immersion 
5280 Nikon 4S025‐340‐1 Interface Control Card PCB STGX8_HT NSR‐620D 
5281 Nikon 4S025‐340‐1 Processor PCB Card STGX8_HT 4S025‐380‐1 NSR‐S620D 
5282 Nikon 4S025‐340‐1 Processor PCB Card STGX8_HT 4S025‐391‐1 NSR‐S620D 
5283 Nikon 4S025‐350 Processor PCB Card RemoteIO‐COM NSR‐S620D ArF Immersion Used
5284 Nikon 4S025‐369 Processor Relay Board PCB X8RSSB_LUT NSR‐S620D 
5285 Nikon 4S025‐370 Processor Relay Board PCB X8RSSB_LUB NSR‐S620D 
5286 Nikon 4S025‐371 Processor Relay Board PCB X8RSSB_LDT NSR‐S620D 
5287 Nikon 4S025‐372 Processor Relay Board PCB X8RSSB_LDB NSR‐S620D 
5288 Nikon 4S025‐373 Processor Relay Board PCB X8RSSB_RUT NSR‐S620D 
5289 Nikon 4S025‐374 Processor Relay Board PCB X8RSSB_RUB NSR‐S620D 
5290 Nikon 4S025‐375 Processor Relay Board PCB X8RSSB_RDT NSR‐S620D 
5291 Nikon 4S025‐376 Processor Relay Board PCB X8RSSB_RDB NSR‐S620D 
5292 Nikon 4S025‐378 Processor PCB Card STGX8_SL NSR‐S620D ArF Immersion Scanner Used
5293 Nikon 4S025‐407 Processor PCB Card STGX8_AD2 NSR‐S620D ArF Immersion Used
5294 Nikon 4S025‐420 Control PCB Card IUCTRL‐X8 NSR‐S620D ArF Immersion 
5295 Nikon 4S025‐456 Processor Board PCB RS‐LACS 4S025‐487 NSR‐S620D 
5296 Nikon 4S025‐492 Processor Control Board PCB BK_WARIO NSR‐S620D 
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 165 sales@semistarcorp.com
5297 Nikon 4S025‐563 Control PCB Card AFX8IF NSR‐S620D ArF Immersion Scanner Used
5298 Nikon 4S025‐566 Driver PCB Card IUDRV1‐X8A NSR‐S620D ArF Immersion 
5299 Nikon 4S025‐567 Driver PCB Card IUDRV2‐X8A NSR‐S620D ArF Immersion 
5300 Nikon 4S025‐568 Driver PCB Card IUDRV3‐X8A NSR‐S620D ArF Immersion 
5301 Nikon 4S025‐572 Relay PCB Card IUPWR3‐X8A NSR‐S620D ArF Immersion Scanner Used
5302 Nikon 4S050‐645 LD Module NSR‐S306C DUV Scanning System 
5303 Nikon 4S064‐133‐4 Power Driver Box 4S064‐340 NSR‐S204B System 
5304 Nikon 4S064‐363 Beam Matching Unit Controller BMU‐IOP NSR‐System 
5305 Nikon 4S064‐412 Controller IU‐IOP1 NSR‐S205C Step‐and‐Repeat BMU 
5306 Nikon 4S064‐413‐1 Controller IU‐IOP2 NSR‐S205C Step‐and‐Repeat 
5307 Nikon 4S064‐419‐4 Operator Interface OPD Panel NSR‐S205C 
5308 Nikon 4S064‐419‐4 User Interface NSR‐S307E Missing Bottom Cover 
5309 Nikon 4S064‐419‐4 Wafer Loader User Interface NSR‐S307E 
5310 Nikon 4S064‐513 NT/Linux Server Computer FAU‐036‐02 NSR‐S205C 
5311 Nikon 4S064‐513 NT/Linux Server Computer FAU‐036‐02 NSR‐S205C Copper Cu Working
5312 Nikon 4S064‐513 NT/Linux Server Computer FAU‐036‐2 NSR System 
5313 Nikon 4S064‐630 NSR Series Controller IU‐IOP1 Working
5314 Nikon 4S064‐631 IU‐IOP2 Controller NSR Series 
5315 Nikon 4S064‐631‐1 IU‐IOP2 Controller NSR Series 
5316 Nikon 4S064‐820‐1 Wafer Loader User Interface NSR‐S307E 
5317 Nikon 4S065‐531 Control Module LZR‐OPT‐2 NSR‐S620D ArF Immersion 
5318 Nikon 4S066‐021 MSZ Amplifier SPA373DC NSR 4S013‐684‐1 System 
5319 Nikon 4S082‐592AN Guide Assembly 
5320 NIKON 4S086‐527‐1 / RELAY AMP TYPE ALL / NIKON
5321 NIKON 4S288‐271 / POSITION SENSOR UNIT NS2300‐D / NIKON
5322 Nikon 4S554‐351‐3 Processor Board PCB AFU‐S40‐S10‐4CT‐N01 NSR‐S620D 
5323 NIKON 4S587‐575‐1 / S204 CONTROL UNIT N7CN2 RR‐C07 V 6.3 / NIKON
5324 Nikon 4S587‐625 AVIS2 ERG AMP 4S013‐374‐2 SEA241A NSR‐S204B Scanning System Used
5325 NIKON 4S587‐625AN/ERG POWER AMP UNIT/NIKON
5326 Nikon 4S587‐735 VCM Amplifier SPA156A NSR‐S205C System 
5327 Nikon 4S900‐155 LD Module LDM790A NSR‐S620D ArF Immersion Scanner System Spare
5328 Nikon 4S900‐156 LD Module LDM000A NSR‐S620D ArF Immersion Scanner System Working
5329 Nikon 4S900‐157 LD Module LDM655A NSR‐S620D ArF Immersion Scanner System Spare
5330 Nikon 4S900‐174 LD Module LDM790B NSR‐S620D 
5331 Nikon 4S900‐197 LD Module LDM790BT02 NSR‐S620D ArF Immersion Scanner System Used
5332 NIKON 4S992‐231 / INTERFEROMETER LASER POWER / NIKON
5333 Nikon AIS Aerial Image Sensor NSR‐S202A Step‐and‐Repeat System 
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 166 sales@semistarcorp.com
5334 Nikon AIS Aerial Image Sensor NSR‐S204B Step‐and‐Repeat System Non‐Copper Spare
5335 Nikon ARB Blinds Unit MU‐501C 4S554‐001 Faulhaber 2251R012S NSR‐1755G7A Used
5336 Nikon BFP Basic Flat Plate NSR‐S202A Step‐and‐Repeat System 
5337 Nikon BFP Basic Flat Plate NSR‐S204B Step‐and‐Repeat System Non‐Copper Used
5338 Nikon BMU‐IOP 4S064‐363 Controller
5339 Nikon BMU‐IOP 4S064‐363 Controller
5340 Nikon BMU‐IOP 4S064‐363 Controller
5341 Nikon Ceramic Pin Chuck 12in 300mm NSR Step‐and‐Repeat System Used
5342 Nikon Chuck Load Table 4S018‐876 NSR‐S205C NSR‐S305B Step‐and‐Repeat System
5343 Nikon Chuck Load Table 4S018‐876 NSR‐S205C Step‐and‐Repeat Exposure System Used
5344 Nikon Chuck Load Table 4S018‐876 NSR‐S207D NSR‐S307E System 
5345 Nikon Controller Box VMZ‐K3040
5346 Nikon ERGR‐CF Electro Pneumatic Regulator ERG AVIS J814‐0018 NSR‐S620D Working
5347 Nikon ERGR‐LB Electro Pneumatic Regulator ERG AVIS J814B0018 NSR‐S620D Working
5348 Nikon ERGR‐RB Electro Pneumatic Regulator ERG AVIS J814B0018 NSR‐S620D Working
5349 Nikon FIA Autofocus Bulb Housing NSR‐S204B Scanning System 
5350 Nikon Field Revolver SHRINC NSR‐S204B Step‐and‐Repeat Scanning System Used
5351 Nikon Fly's Eye Box MAN‐D34R13B RH‐8D‐3006‐E100D0 NSR‐S307E DUV Scanning Used
5352 Nikon Fly's Eye Box MAN‐D34R23B HEDS‐5540 F14 RH‐8C‐3006‐E100D0 NSR‐S205C Used
5353 Nikon Fly's Eye Field Lens NSR‐S204B Step‐and‐Repeat Scanning System Used
5354 Nikon Harrier 160xi ULTRAFOCUS X‐Ray 60W CT Metrology Package,X‐TEK Nikon
5355 Nikon High‐Powered Lens Assembly NSR‐S307E As‐Is
5356 Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR‐S204B Used
5357 Nikon Internal Right‐Elevator 4S013‐467 NSR‐S307E DUV Scanning 
5358 Nikon Internal Right‐Elevator 4S013‐467 NSR‐S307E DUV Scanning 
5359 Nikon Internal Right‐Elevator 4S013‐467 NSR‐S307E DUV Scanning System Used
5360 Nikon KAB11010/3101‐0 Power Box OptiStation 7 
5361 Nikon K‐ARX4B1 S‐Pure Revolver IU‐OSP NSR‐S307E DUV Scanning System 
5362 Nikon KBB18530‐00 WL3 Slider NSR‐S204B Step‐and‐Repeat System 4S013‐212‐3 Used
5363 Nikon KBB18530‐00 WL3 Slider Rail NSR‐S204B Step‐and‐Repeat System Used
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 167 sales@semistarcorp.com
5364 Nikon L200 Microscope
5365 Nikon L2A‐AF Autofocus Unit for Eclipse L200A Microscope
5366 Nikon Large High‐Powered Lens Assembly NSR‐S307E 
5367 Nikon Laser Lens Reflection Assembly NSR‐S307E 
5368 Nikon Low Reflectance Sensor NSR‐S202A Step‐and‐Repeat System 
5369 Nikon Low Reflectance Sensor NSR‐S204B Step‐and‐Repeat Scanning System Used
5370 Nikon M22 Chamber Refrigerator F3WA‐022E‐TFC NSR‐S205C TC Rack Working Spare
5371 Nikon Main Body Back Relay Lens Optic NSR‐S205C Exposure System 
5372 Nikon Main Body Reticle Stage VRA Table NSR‐S204B 
5373 Nikon Measuring Microscope MM‐40, 100V/120V/230V, 1.5A/1.3A/0.7A,50/60Hz, No. 10
5374 Nikon Measuring Microscope MM‐40, 100V/120V/230V, 1.5A/1.3A/0.7A,50/60Hz, No. 10
5375 Nikon Microscope Objective CFI LU Plan APO BD 150X MUC50150
5376 Nikon MM‐40 Measuring Microscope with Quadra‐chek 200 Evaluation Display
5377 Nikon MM‐40 Measuring Microscope with Quadra‐chek 2005
5378 Nikon NCPre2 Non‐Contact Prealign 2 Unit NSR System 
5379 Nikon NCPre2 Non‐Contact Prealign 2 Unit NSR‐S202A Step‐and‐Repeat System Used
5380 Nikon NCPre2 Non‐Contact Prealign 2 Unit NSR‐S204B Step‐and‐Repeat System Used
5381 Nikon NSR 4G680‐389AN Scanner RPF 6.5% New
5382 Nikon NSR 4S018‐852‐3AN BD92A Detector Board VME Card PCB DPR‐LS22 New
5383 NIKON NSR RETICLE BLINDS KBB07060 STEPPER
5384 Nikon NVC6B‐1V5YAN Inspection Camera NSR‐1755G7A Step‐and‐Repeat 
5385 NIKON NWL860 WAFER LOADER
5386 NIKON OPTIPHOT 150 NOMARSKI Microscope 6X6 Stage DIC OBJECTIVE
5387 NIKON OPTIPHOT 200
5388 NIKON Optiphot 200 / MICROSCOPE 100‐120VAC 3A 50/60HZ / NIKON
5389 Nikon Optiphot 200 Inspection Microscope
5390 Nikon Optiphot 200 Inspection Microscope
5391 NIKON OPTIPHOT 200 SYSTEM
5392 NIKON OPTIPHOT 200 with 8" LARGE VACUUM MOTORIZED X‐Y LUDLE STAGE
5393 Nikon Optiphot 66 Inspection Microscope1
5394 NIKON OPTIPHOT 88 / MICROSCOPE/ NIKON
5395 NIKON OPTIPHOT 88 / MICROSCOPE/ NIKON
5396 Nikon Optiphot 88 200mm Inspection Microscope w/ Nomarski Optics.7
5397 Nikon Optiphot Inspection Microscope w/ Nomarski Optics3
5398 NIKON OPTISTATION 3 W/ OPTISTATION CONTROL UNIT
5399 Nikon Photomask Reticle Handling Unit NSR‐S307E Main Body Untested As‐Is
5400 Nikon Power Unit Roll Around Power Supply OPTISTATION 3 
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 168 sales@semistarcorp.com
5401 Nikon Pre1 and Reticle Library UTOPI‐020MX NSR‐S204B Step‐and‐Repeat System Used
5402 Nikon Pre2 Detector Interface Board PCB VB‐001 NSR System Wafer Loader
5403 NIKON PRECISION SCANNER 6' S202A S203 WAFER HOLDER CHUCK
5404 NIKON PRECISION SCANNER STEPPER RETICLE ROBOT 4K177 SF140 NSR‐S204B
5405 Nikon Reflectance Plate NSR‐S202A Step‐and‐Repeat System 
5406 Nikon Reflectance Plate NSR‐S204B Step‐and‐Repeat Scanning System 
5407 Nikon Reflectance Plate NSR‐S306C DUV Scanning System 
5408 Nikon Reflectance Plate NSR‐S307E 300mm DUV Scanning System 
5409 Nikon Relay Optic Lens NSR‐1755G7A Step‐and‐Repeat G‐line System Working
5410 Nikon Reticle Alignment Unit 232842 4S602‐385 NSR‐S307E DUV Scanning System Used
5411 Nikon Reticle Exchange Assembly REX RH‐11C‐3001‐E100D0 NSR‐S202A 
5412 Nikon Reticle Exchange Assembly REX RH‐11C‐3001‐E100D0 NSR‐S204B 
5413 Nikon Reticle Exchange Assembly REX RH‐11C‐3001‐E100D0 NSR‐S204B Non‐Copper
5414 Nikon Reticle Fine Stage MSC NSR‐S202A Step‐and‐Repeat System 
5415 Nikon Reticle Fine Stage MSC NSR‐S205C Step‐and‐Repeat Exposure System Used
5416 Nikon Reticle Loader Robot Elevator UTOPI‐020SE NSR‐S204B Step‐and‐Repeat Used
5417 Nikon Reticle Loader Unit NSR‐S205C Step‐and‐Repeat Exposure System 
5418 Nikon Reticle Loader Unit NSR‐S205C Step‐and‐Repeat Exposure System Used
5419 NIKON Revolution 160KVa 20W X‐Ray, Flat Panel, CT imaging,X‐TEK Nikon
5420 Nikon RH‐11C‐3001‐E100AL Reticle Exchange Assembly REX NSR‐S306C System Used
5421 Nikon Ring Chuck 150mm NSR‐1755G7A Step‐and‐Repeat G‐Line System Working Spare
5422 Nikon Ring Chuck 200mm NSR‐S204B Step‐and‐Repeat Scanning System Working Spare
5423 Nikon RS Shock Absorber (RFC) NSR‐S204B Step‐and‐Repeat Scanning 
5424 NIKON S202A SCANNER 200MM RETICLE LIBRARY
5425 Nikon S37 Temperature Control TC Rack N6B‐A NSR‐S205C Copper Cu Working Spare
5426 Nikon S58 Active Temperature Control Unit NSR‐S307E 300mm DUV 
5427 Nikon Sensor Box 4K957‐706 NSR‐S620D ArF Immersion Scanner System 
5428 NIKON SPA452A LINEAR MOTOR CONTROLLER
5429 Nikon SPA452A WYL Linear Motor Controller 4S587‐469‐3 
5430 Nikon S‐Pure Relay Lens NSR‐S204B Step‐and‐Repeat Scanning System 
5431 Nikon S‐Pure Revolver NAILL Optics Platform Assembly 4S013‐284 NSR‐S204B Used
5432 Nikon T‐703018 Optics Table Assembly NSR‐S307E 
5433 Nikon Test Reticle R2005HJ Ver 3.09 New‐Other
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 169 sales@semistarcorp.com
5434 Nikon TTLFC2 Plate NSR‐S202A Step‐and‐Repeat Scanning System 
5435 Nikon TTLFC2 Plate NSR‐S204B Step‐and‐Repeat Scanning System 
5436 Nikon VB‐001 Wafer Loader Pre2 Detector Board PCB A NSR‐S204B 
5437 Nikon VB‐001 Wafer Loader Pre2 Detector Board PCB B NSR‐S204B 
5438 Nikon VB‐001 Wafer Loader Pre2 Detector Board PCB C NSR‐S204B 
5439 Nikon Veritas VM‐500c Video Measuring System ‐ No Computer/Controller
5440 Nikon Verticle Relay Lens NSR‐S204B Step‐and‐Repeat Scanning System 
5441 Nikon Voice Coil Motor VCM NSR‐S204B Step‐and‐Repeat Scanning System Used
5442 Nikon Wafer Center Table NSR‐S204B Step‐and‐Repeat Working Spare
5443 Nikon Wafer Center Table NSR‐S306C DUV Scanning System 
5444 Nikon Wafer Center Table NSR‐S307E DUV 300mm System 
5445 NIKON WAFER CHUCK ASSEMBLY / LEVEL UP 15P‐09‐1285M / NIKON
5446 Nikon Wafer Handling Assembly Optistation 3 Untested As‐Is
5447 Nikon wafer inspection station
5448 Nikon Wafer Loader Indexer Lift Assembly NSR‐S204B Step‐and‐Repeat Scanning Used
5449 Nikon Wafer Loader Indexer NSR‐S204B Step‐and‐Repeat Scanning 
5450 Nikon Wafer Loader Pre2 Detector VB‐001 NSR‐S202A 
5451 Nikon Wafer Loader Pre2 Detector VB‐001 Unit NSR‐S204B System 
5452 Nikon Wafer Mask Reticle Indexer NSR‐S202A 
5453 Nikon Wafer Stage Cable Guide NSR‐S205C Main Body 
5454 Nikon WL3 Slider Rail NSR‐S204B Step‐and‐Repeat Scanning System As‐Is
5455 Nikon WL4 Slider Rail NSR‐S205C Step‐and‐Repeat Exposure System 
5456 Nikon WL4 Slider Rail NSR‐S205C Step‐and‐Repeat Exposure System As‐Is
5457 Nikon WLV‐LDX Load X Rail MSM4ACA2A NSR‐S205C Step‐and‐Repeat 
5458 Nikon WLV‐LDX Load X Rail NSR‐S205C Step‐and‐Repeat Exposure System 
5459 Nikon WLV‐ULX Unload X Rail MSM4ACA2A NSR‐S205C Step‐and‐Repeat Exposure Used
5460 Nikon WLV‐ULX Unload X Rail MSM4ACA2AX NSR‐S205C Step‐and‐Repeat Exposure Used
5461 Nikon WS Shock Absorber (RFC) Nikon NSR‐S204B Scanning System 
5462 Nikon WS Shock Absorber Left (RFC) NSR‐S205C 
5463 Nikon WS Shock Absorber Left (RFC) NSR‐S205C Exposure System 
5464 Nikon WS Shock Absorber Left (RFC) NSR‐S307E DUV 300mm System 
5465 Nikon WS Shock Absorber Left (RFC) T02727A NSR‐S306C DUV Scanning System Used
5466 Nikon WS Shock Absorber Right (RFC) NSR‐S205C 
5467 Nikon WS Shock Absorber Right (RFC) NSR‐S307E DUV 300mm 
5468 Nikon WS Shock Absorber Right (RFC) T02727A NSR‐S306C DUV Scanning System Used
5469 Nikon WT Linear Scale BS90A NSR‐S204B Step‐and‐Repeat Scanning System Used
5470 Nikon WT Linear Scale BS91 NSR‐S306C DUV Scanning System 
5471 Nikon WT Linear Scale NSR‐S204B Scanning System 
5472 Nikon WT Linear Scale NSR‐S306C DUV Scanning System 
5473 Nikon WT Linear Scale NSR‐S307E 300mm DUV Scanning System 
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 170 sales@semistarcorp.com
5474 Nikon WT Linear Scale Read Head BS91 NSR‐S306C DUV Scanning System 
5475 Nikon WT Linear Scale Read Head BS91A X Axis NSR‐S204B System 
5476 Nikon WT Linear Scale Read Head BS91A Y Axis NSR‐S204B System 
5477 Nikon WT Linear Scale Read Head BS91A Z‐Axis NSR‐S204B System 
5478 Nikon WT Linear Scale Read Head X‐Axis BS90A NSR‐S204B Step‐and‐Repeat Used
5479 Nikon WT Linear Scale Read Head X‐Axis BS90D NSR‐S204B Step‐and‐Repeat Used
5480 Nikon WT Linear Scale Read Head Y‐Axis BS90A NSR‐S204B Step‐and‐Repeat Used
5481 Nikon WT Linear Scale Read Head Y‐Axis BS90D NSR‐S204B Step‐and‐Repeat Used
5482 Nikon WT Linear Scale Read Head Z‐Axis BS90A NSR‐S204B Step‐and‐Repeat Used
5483 Nikon WT Linear Scale Read Head Z‐Axis BS90D NSR‐S204B Step‐and‐Repeat Used
5484 NIKON XN MICROSCOPE 120V 50/60HZ / NIKON
5485 Nikon XYV‐LDX Load X Rail MSMA4ACA2A NSR‐S307E DUV Scanning System Working Spare
5486 Nikon XYV‐LDX Load X Rail NSR‐S205C Step‐and‐Repeat Exposure System 
5487 Nikon XYV‐ULX Unload X Rail MSM4ACA2A NSR‐S205C Step‐and‐Repeat Exposure Used
5488 NIKON Z‐X3‐B3606RU / MOTOR CONTROLLER 24V 10A / NIKON
5489 Nippon Avionics NAW‐1087 Ribbon Bonder
5490 Nippon Pillar PE‐40HA High Temperature Bellows Pump 16.8 GPM 180°C
5491 NKS JS2006FN518 / TEL ACT‐12 ROBOT NSK ROBOT/ NSK
5492 NOAH PRECISION PELTIER PSC‐8800 V3.37 / MODEL 8800 NOAH POU‐3300 CONTROLLER
5493 NOAH PRECISION PSC‐4400 V3.36 / MODEL 4400 NOAH CHILLER CONTROLLER / NOAH PRECISION
5494 Norcimbus TCS Supply Cabinet New Never Used
5495 Nordiko Orthogonal Magnet Array
5496 Nordiko Sputter System. Looking for a swift sale, please make offer, Motivated
5497 Nordiko Sputter System. Looking for a swift sale, please make offer, Motivated
5498 Nordiko Sputtering System Shutter Actuator W/ Reduction Gear TS 140‐033 Spinea
5499 Nordson 1601211 CW2 UV Cooling Wave Microwave Light Source, Novellus 423488
5500 NORDSON ASYMTEK CENTURY SYSTEM SELECTIVE FLUX MODEL C718FLUX
5501 NORDSON ASYMTEK MILLENNIUM SERIES M‐620 DISPENSING SYSTEM WITH DP‐3000 PUMP
5502 Nordson ASYMTEK S‐820B, Batch Dispensing System (2011)  ‐ NEW UNUSED
5503 Nordson ASYMTEK Select Coat I.R Module in‐line Thermal Cure TCM Convection Oven
5504 Nordson Dage 4000 Plus‐Cart‐P100G
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 171 sales@semistarcorp.com
5505 Nordson Dage Precision 4000HS Wire Bondtester w/ Leica GZ6 for Bond Testing
5506 Northern Plasma 2012 Northern Plasma NV‐1 Plasma Cutter Fabrication
5507 NORTON SAINT GOBAIN 3497708R CVD Silicon carbide Coated Heating Igniter
5508 Norton Vacuum Equipment Division Thermal Evaporator Varian NRC Model 3117
5509 NOS Komatsu AIH‐65QS‐LM3 Temp Controller
5510 NOVA 153‐10000‐01 / NOVASCAN 200MM TN‐087 HANDLER LOCKING JIG / NOVA
5511 Novascan PSD Pro Series Digital Uv Ozone System
5512 Novascan PSD‐UV10 10" x 10" Benchtop UV/Digital Ozone Cleaning System
5513 Novastar EBSO SPA400 Lead Free selective solder machine
5514 NOVASTAR Reflow Furnace
5515 Novellus  Electro Optical NTM500‐C A670‐100‐5010 (Novellus P/N: 27‐163243‐00) System
5516 Novellus / Varian 3000 Series Master Controller 19‐113956‐00 ++
5517 Novellus 002‐6640‐24 Robot Arm, 424261
5518 NOVELLUS 01628727 / PIGTAIL #94‐06,GAS PANEL,DISS 728,ETG 04496,PT‐HPM‐728‐FC / NOVELLUS
5519 NOVELLUS 02‐00012‐00 / PNEUMATICS PANEL ASSEMBLY FOR C1 / NOVELLUS SYSTEMS INC
5520 NOVELLUS 02‐00064‐00A / ASSY, LWR, SPINDLE, FERROFLUIDIC, WITHOUT GENEVA / NOVELLUS SYS
5521 NOVELLUS 02‐00072‐00 / ASSY,NITRIDE TERM,PNL W/ EXCHANG! / NOVELLUS SYSTEMS INC
5522 NOVELLUS 02‐00215‐00 / ASSY,GENEVA DRIVE (200MM) WITH EXCHANGE / NOVELLUS SYSTEMS INC
5523
NOVELLUS 02‐00269‐00 / ASSY,ONE ARM ROBOT ARM SET ONLY WITH EXCHANGE / NOVELLUS 
SYSTEMS
5524
NOVELLUS 02‐00374‐00 / PCA,TERMINAL PANEL C1 NG (ALL) W/ EXCHANG! / NOVELLUS SYSTEMS 
INC
5525 NOVELLUS 02‐034310‐00 / ASSY,MOD CONTROLLER,P100/16M / NOVELLUS SYSTEMS INC
5526 Novellus 02‐105005‐00 MOD_CNT, P166, 24 V, W/8_S23
5527 Novellus 02‐105005‐00 MOD_CNT, P166, 24 V, W/8_S23
5528 Novellus 02‐105005‐00 MOD_CNT, P166, 24 V, W/8_S23
5529 Novellus 02‐105157‐00 Assy, Sys. Cont., P166/64, 24V
5530 NOVELLUS 02‐162351‐00 / ASSY,BELLJAR,HI PWR,C2SPD‐S / NOVELLUS
5531 NOVELLUS 02‐162452‐00 ASSY, PED, STA 2 300MM VECTOR
5532
NOVELLUS 02‐168925‐00N / ASSY,PEM,300MM,SABRE XT(SABRE NON 3D) / LAM / NOVELLUS 
SYSTEMS
5533 NOVELLUS 02‐252395‐00/ASSY,HDSIOC0,VECTOR/NOVELLUS SYSTEMS INC
5534 NOVELLUS 02‐258799‐00 / ASSY,HEATER BLOCK,2&3KW,6FORKS,SEQUEL /NOVELLUS
5535 Novellus 02‐259457‐00 C3 Vector Spindle Assy Assembly
5536 NOVELLUS 02‐259925‐00 ASSY, BELL JAR, HI PWR, C3 SPD
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 172 sales@semistarcorp.com
5537 NOVELLUS 02‐266793‐00 /16‐156551‐00 PED ASSY,ESC,N2 COOL,IVA DHCM TA / LAM RESEARCH
5538 NOVELLUS 02‐272808‐00 ASSY,TRIPOD,GRND,RF SOURCE,ROW,VITON 2130
5539 NOVELLUS 02‐288189‐00 ASSY, 300MM, LIFT PIN ACTR
5540 NOVELLUS 02‐293421‐01 / C3 RF MATCH 300MM REV‐A 0806 103006 / NOVELLUS
5541 NOVELLUS 02‐321646‐00 / ASM,HDSIOC 0 VECTOR V5.0 / LAM RESEARCH CORPORATION
5542 NOVELLUS 02‐324753‐00 / LEVEL 3 ALTUS/VECTOR SPINDLE /NOVELLUS SYSTEMS INC
5543 NOVELLUS 02‐347924‐00 / ASSY,ELECTRICAL,ILDS DIRECT DIVERT(C3 VECTOR) / NOVELLUS
5544 NOVELLUS 02‐371966‐00 ASSY,MANIF,COOLED,RMT CLN,C3VCTR
5545 NOVELLUS 02‐377211‐00 / SRD FOUR STAGE RF ASSY WITH BNC / NOVELLUS 200159110 200182041
5546 NOVELLUS 02‐381649‐02 / ASSY,PEM 2,300MM,POR,SR,SBR‐XT(SABRE NON 3D) / LAM / NOVELLUS
5547 NOVELLUS 02‐418545‐00 / ASSY,PLATING CELL,NEXT(SABRE NON 3D) / NOVELLUS SYSTEMS/ LAM
5548 NOVELLUS 02‐428979‐01 / ASSY,IRIS CELL,CELL1(SABRE NON 3D) / NOVELLUS SYSTEMS / LAM
5549 NOVELLUS 02‐437198‐00 / ASSY,MIXING BOWL,E38,BD,VXT(VECTOR EXTREME) / NOVELLUS / LAM
5550 Novellus 02‐445950‐00 Controller MC4, Ethernet, 200M
5551
NOVELLUS 02‐463840‐00 / ASSY,PUMP DOSE,3 CHEM,BATH 2(SABRE 3D) / NOVELLUS SYSTEMS / 
LAM
5552 NOVELLUS 0‐2‐ANNEAL,76‐135065‐00 / SABRE XT ANNEAL FRONT END / NOVELLUS
5553 NOVELLUS 04‐306477‐00 / KIT,SPINDLE,XPRS,YASKAWA YSC‐02D04B02S / NOVELLUS SYSTEMS INC
5554 NOVELLUS 04‐308076‐00 / KIT, ATH SHIELD, CELL 3, 300MM(SABRE NON 3D) / NOVELLUS / LAM
5555 Novellus 04‐311910‐00 PM KIT, CUP REBUILD, APC 1MM, 300, SBR,‐XT
5556 NOVELLUS 04‐710723‐05 REV M RF MAGNETRON 17‐116776‐00 RADIO FREQUENCY SPUTTERING
5557 NOVELLUS 05‐119299‐01 / MONITOR, MAINT, LCD UPGRADE KIT/ NOVELLUS
5558 NOVELLUS 1006143 /VERITY INSTURMENTS ENDPOINT CONTROLLER MODEL /NOVELLUS
5559 NOVELLUS 15‐00003‐02 / C1 PLATE,TOP,PROCESS 150MM / NOVELLUS SYSTEMS INC
5560 NOVELLUS 15‐029544‐00 / CHMBR,SPD‐S / NOVELLUS SYSTEMS INC
5561 NOVELLUS 15‐046348‐01 / CVD, DOME, LOW POWER, 300MM / NOVELLUS SYSTEMS INC
5562 NOVELLUS 15‐120948‐00 CYLINDER,SOURCE,DFE
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 173 sales@semistarcorp.com
5563 NOVELLUS 15‐122731‐01 / NOVELLUS HDP RF DISTRIBUTION PLATE 300MM / NOVELLUS
5564 NOVELLUS 15‐129181‐00 / WINDOW HATCH COVER 300HDP / NOVELLUS SYSTEMS INC
5565 NOVELLUS 15‐183816‐00 / SHWRHD,CONST DENSITY,IALD(C3 FFW) / NOVELLUS SYSTEMS INC / LAM
5566 NOVELLUS 15‐256677‐00 / END EFFECTOR 300MM CERAMIC / NOVELLUS
5567 NOVELLUS 15‐256677‐00 / END EFFECTOR 300MM CERAMIC / NOVELLUS
5568 NOVELLUS 15‐268083‐00 INOVA, CERAMIC DOME, OEM, USED, EXCELENT COND.
5569 NOVELLUS 15‐313661‐00 / WTS CERAMIC ROBOT BLADE REV‐B / NOVELLUS SYSTEMS INC
5570 Novellus 16‐144558‐00 SHIELD, BARREL, ARCS, PVD 300
5571 NOVELLUS 16898‐01 / LOCATOR, WAFER 8 INCH / NOVELLUS SYSTEMS INC
5572 NOVELLUS 169590‐001 / 16 CHANNEL BACKPLANESIGMAL CONDITIONER / NOVELLUS
5573 NOVELLUS 17002023 / RIPPLE RACK 3XXX with PCBs / NOVELLUS
5574 NOVELLUS 19‐00154‐00 / HEATER BLK,2KW, 2KW 8 200MM, NON SLOTTED / NOVELLUS
5575 NOVELLUS 19‐00155‐01 /HEATER,BB 150MM BLOCK/ NOVELLUS SYSTEMS INC
5576 NOVELLUS 19‐024227‐01 HEATER, 200mm,NG HOLLO PINS NG
5577 NOVELLUS 19‐032015‐01 / HEATER BLK,150MM 6"OD PINNED / NOVELLUS SYSTEMS INC
5578 NOVELLUS 19‐045209‐00 / ASSY,MANOMETER,100M TORR / NOVELLUS
5579 NOVELLUS 27‐032653‐00 / TRAZAR MATCH 5KW W/ARC SENSOR AMU2‐1 / NOVELLUS
5580 NOVELLUS 27‐034223‐00 /TRAZAR 10E‐1MATCH 5KW 150MMSPD / NOVELLUS
5581 NOVELLUS 27‐121645‐00 / MATCH,TRAZAR,SEQ,IMP COOLING / NOVELLUS SYSTEMS INC
5582
NOVELLUS 27‐160698‐00 / ROBOT,ARM,ATR7,300,RABBIT MAP(SABRE NON 3D) 002‐6640‐39/ 
NOVELLUS
5583 NOVELLUS 27‐255798‐00N, 3152603‐010 / E‐WAVE POWER SUPPLY / NOVELLUS
5584
NOVELLUS 27‐274809‐00, 27‐105447‐00/GHW‐85A,GEN,HF,ENI,8KW,GHW80A‐13DF2L0‐001 / 
NOVELLUS
5585 NOVELLUS 27‐290521‐00 / GENERATOR, PLASMA, 3.0KW, LITMAS, 1.9‐3.2MHZ / NOVELLUS
5586
NOVELLUS 27‐370236‐00 / PWR SPLY,DC PLATING,150V,5A,BI‐DIRECTION(SABRE NON 3D) / 
NOVELLUS
5587 NOVELLUS 2850‐739458 / ROBOT IPEC 676 GENMARK GB4 / NOVELLUS
5588 NOVELLUS 30‐000956‐00 CHAMBER , TOP PC 2300 MTL/PL REPAIR NOVELLUS
5589 Novellus 61‐30647478‐00 Spindle Yaskawa YSC‐02D04B02 Used
5590 Novellus 63‐303438‐00 Robot Assy, DU EE, NO EE, 200, Brooks 002‐7090‐10, 424263
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 174 sales@semistarcorp.com
5591 NOVELLUS 71‐252989‐00 / WAFER CARBON 300 CALIBRATION NO NOTCH / NOVELLUS
5592 NOVELLUS 73016‐09 / FILTER UV‐23,313NM+4NM / NOVELLUS SYSTEMS INC
5593 NOVELLUS BROOKS ROBOT ARM ASSEMBLY 002‐6640‐00
5594 NOVELLUS C2 CHAMBER AND TOP PLATE 150MM 820‐6105609B
5595 Novellus Concept 2 Snapper Transport Module Brooks VTR‐4 Wafer Transfer Robot
5596 NOVELLUS CONCEPT GAMMA CERAMIC DOME SHIELD 15‐377294
5597 Novellus Electro Optical NTM500‐C, A670‐100‐5010 (Novellus P/N: 27‐163243‐00) System
5598 NOVELLUS GASONICS 05‐0234 / FLANGE, PLATEN FEEDTHRU, ALUMINUM OBSOLETE USE 16207‐01 /
5599 NOVELLUS GASONICS I/O PCB 16945‐02
5600 NOVELLUS HDSIOC 1 VETOR 02‐252396‐00
5601 Novellus M0‐017440‐01 Pump Herc 50 Assy 01‐4105‐1 Baldor Reliance VL3509, 424223
5602 NOVELLUS NOVELLUS 02‐283805‐00 / ESC REV‐C 300MM / NOVELLUS
5603 Novellus PN: 16‐131278‐00 200mm Heater Block, Low Contact Area 8"
5604 Novellus Systems 02‐304871‐00 RF Match Assembly Aluminum SST‐PEEK 1.12 New
5605 Novellus Systems 15‐050938‐00 200mm ESC Electrostatic Chuck Concept 2 Working
5606 Novellus Systems 16‐132590‐00N SHWRHD Pinned 300mm WLDMNT Vector 
5607 NOVELLUS SYSTEMS BROOKS MAG7 MAG8 ROBOT BLADE END EFFECTOR 15‐25667‐00A
5608 NOVELLUS SYSTEMS INC PROX‐H472LF‐062L1‐G1B / CPU,MOTHER BOARD ‐ INTEL
5609 Novellus Systems R02‐281532‐00 RF Match Source Aluminum Coil Refurbished
5610 Novellus Systems R02‐351245‐00 Clamshell Drive DP APC Ready Refurbished
5611 NOVELLUS VECTOR HDSIOC 2 02‐252397‐00
5612 Novellus, Heater Block 200mm, 19‐024227‐00
5613 NOVELLUS‐VARIAN‐EATON 00‐684878‐00 HEATER ASSY., 5"
5614 NPP new power plasma NKG‐15km 360‐440 Khz 15kw plasma generator supply
5615 NPP remote plasma source 15 slpm comparable mks astex astron hf‐s fluorine
5616 NRC 72" Multi Ports Stainless Steel Vacuum Cylindrical Chamber NRC Diffusion Pump
5617 NRC/VARIAN 3117 THERMAL EVAPORATOR
5618 NRC/VARIAN 3117 THERMAL EVAPORATOR
5619 NSK 51161‐802‐001 Interface Block Robot IRAM TEL Lithius No End Effector Used
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 175 sales@semistarcorp.com
5620 NSK 51161‐802‐001 Interface Block Robot IRAM TEL Lithius with End Effector Used
5621 NSK Megatorque Motor 8in" ‐ Lam Research 676‐060493‐001
5622 NSW Automation I‐DR S320A G Desktop Dispensing System
5623 NSX‐3DI‐AXI/SERIES ULTRAPORT5 AUTOMATED WAFER HANDLING STATION/AUGUST TECHNOLOGY
5624 Nu COND Tenney JUNIOR TJR Environmental Chamber ‐75°C +200°C TESTED GUARANTEED
5625 Nutek 2006 Nutek Laser Marker NTM 4610‐X
5626 Nutek 2007 Nutek PCB Cleaning Conveyor NTM TCL‐657‐1
5627 NUTEK LYNX NTM91L 90 Degree turn conveyor L or R turn (configurable)
5628 NUTEK MFC0100UM Front of Line Magazine unloader 2011
5629 Nutek NTE0710LL 2009 right to left single magazine unloader
5630 NUTEK NTE0710LL Single Magazine Unloader ‐ Front of line 2012
5631 Nutek NTM110LXL Magazine Unloader (160501)
5632 Nutek NTM110LXL Magazine Unloader (160502)
5633 NUTEK NTM110ULL Magazine loader ‐ end of line 5 magazine ‐ L size 2007
5634 Nutek NTM110ULXL Magazine Loader (140803)
5635 NUTEK NTM2500‐L‐1000‐1 Reject conveyor AOI SPI 2008 NG board
5636 Nutek NTM410XL‐1000‐2 Conveyor Yr. 2014
5637 NUTEK NTM5210‐M Telescopic Aisle / Shuttle gate conveyor 2011
5638 NUTEK NTM640SL 2010 Fifo, Lifo, Pass Through, MULTI Functional Vertical Buffer
5639 NVLS, 06‐54749‐01
5640 NXEDGE 030‐001059 / CAR, INNER DEPO SHIELD RECOAT / NXEDGE
5641 NXS00612A2H1SSSA1A2000000 / IP21 NEMA1 INVERTER IN 3‐208‐240V 50/60HZ OU / VACON
5642 OAI 2000SM EDGE BEAD REMOVER
5643 OAI 317 UV Exposure Meter w/Sensors (ASML/SVG/PE‐Perkin Elmer) 365/400 nm
5644 OAI Hybralign 400 Large Area Mask Aligner and Exposure System
5645 OAI Model 205S
5646 OAI MODEL 358, STEPPER EXPOSURE ANALYZER
5647 OAI MVS‐8100 Mask Aligner CogNex OMI 2.3.1 ‐ 365/400nm UV
5648 OAI Optical Associates NUV Exposure System, Diamond Wafer Handling Robot
5649 OAI TriSol Solar Simulator 1‐1.6 kW CIGS Constant Intensity Option 0130‐0120‐03
5650 Obducat NIL‐4 Nano‐Imprinter/Imprint Lithography System
5651 OEM Group N44SE3L8WP‐210‐00A00 100mm Spin Rinse Dryer SRD 470 Semitool Tested
5652 OERLIKON 400035V0011 / MAG DRIVE DIGITAL CONTROLLER TURBO (186038401)/ OERLIKON
5653 Oerlikon Frequency converter MAG.DRIVE digital ‐ Profibus Part no. 400035V0013
5654 Oerlikon Leybold MAG W 600 iP 410600V0525 ISO‐K Turbo Pump
5655 Oerlikon Leybold MAG W 600 iP 410600V0525 ISO‐K Turbo Pump w/o Controller
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 176 sales@semistarcorp.com
5656 OGP Smartscope Flash Video Measuring Machine
5657 OGP Smartscope Flash Video Measuring Machine
5658 Ohashi CAJR‐02SDPX Tabletop Chip Alignment System for LCD or FPC
5659 Ohashi CBMR‐02SDPX Flat Panel Connector Bonder
5660 OKI / Metcal APR‐5000 Array Package Rework System
5661 OKi OK International/Metcal APR‐5000 PCB Array Package Rework System w/BVX‐200
5662 OL33001603106 / HIGH VOLTAGE POWER SUPPLY 60 KV OI330 / HITEK POWER
5663 OL40010334 / HI VOLT SERIES 400 CONTROLLER / HITEK POWER
5664 OLYMPUS AL100‐LBG Programmable Wafer Loader
5665 OLYMPUS AMERICA INC MX80‐F / MICROSCOPE W/ 6 OPTICS .5 ‐2.5‐10‐20‐50‐150 
5666
OLYMPUS AMERICA INC U‐D5BDREM / MICROSCOPE OBJECTIVE TURRET W/EXCH / OLYMPUS 
AMERICA INC
5667 Olympus BH2‐UMA Microscope *, 90 day warranty*
5668 Olympus BHM Reflected Light Microscope
5669 Olympus BX‐60F Inspection Microscope
5670 OLYMPUS INSPECTION MICROSCOPE MHL110
5671 Olympus MX50
5672 Olympus MX50 Micro‐Metric Microline 100 Max Model: MX50A‐F Inspection Microscope
5673 Olympus TH3 with Olympus AL100‐LM6 wafer loader. working Video to provide
5674 Omax 2018 Omax Maxiem 1530, 5.2' x 10' Table Size, 30 HP, 50k PSI CNC Waterjet
5675 OMAX 2X‐270X Simul‐focal Zoom Boom Microscope+150W Dual Light+1080p HDMI Camera
5676 OMAX 40X‐400X 10MP Digital Semiconductor Inspection Infinity PLAN Microscope
5677 OMAX 40X‐400X 14MP Digital Semiconductor Inspection Infinity PLAN Microscope
5678 OMAX 40X‐400X 18MP USB3.0 Large Stage Infinity Industrial Inspection Microscope
5679 OMAX 40X‐400X 5MP Touchpad Large Stage Infinity Industrial Inspection Microscope
5680 OMAX 40X‐400X 5MP Touchpad Trinocular Inverted Infinity Metallurgical Microscope
5681 OMAX 40X‐400X 5MP USB3 Large Stage Infinity Industrial Inspection Microscope
5682 OMAX 40X‐400X Industrial Inspection Infinity Microscope14MP Camera Large Stage
5683 OMAX 40X‐400X Infinity Industrial Inspection Microscope USB3 10MP Camera Stage
5684 OMAX 40X‐400X PCB&Wafer Semiconductor Inspection Infinity Microscope Large Stage
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 177 sales@semistarcorp.com
5685 OMAX 50X‐787.5X 10MP Digital Ore Polarizing Trinocular Microscope + Dual Lights
5686 OMAX 50X‐787.5X 10MP USB3 Ore Petrographic Polarizing Microscope + Bertrand Lens
5687 OMAX 50X‐787.5X 14MP Digital Ore Polarizing Trinocular Microscope+Dual Lights
5688 OMAX 50X‐787.5X 14MP USB3.0 Ore Petrographic Polarizing Microscope+Bertrand Lens
5689 OMAX 50X‐787.5X 18MP USB3.0 Ore Petrographic Polarizing Microscope+Bertrand Lens
5690 OMAX 50X‐787.5X 5MP Digital Ore Polarizing Trinocular Microscope w Dual Lights
5691 OMAX 50X‐787.5X 5MP Digital Ore Polarizing Trinocular Microscope w Dual Lights
5692 OMAX 50X‐787.5X 5MP Touchpad Ore Trinocular Polarizing Microscope+Bertrand Lens
5693 OMAX 50X‐787.5X 5MP USB3.0 Ore Petrographic Polarizing Microscope+Bertrand Lens
5694 OMAX 50X‐787.5X 9MP Digital Ore Polarizing Trinocular Microscope w Dual Lights
5695 OMAX 50X‐787.5X Trinocular Petrographic Polarizing Microscope+1080p HDMI Cametra
5696 Omax Maxiem  2018 Omax Maxiem 2040, 7' x 16.1' Table Size, 40 HP, 50k PSI, CNC Waterjet
5697 OmegaMeter 700 SMD Ionic Contamination System
5698 OMRON VT‐RNS2 Inline AOI Solder Inspection Machine
5699 OMRON VT‐RNS‐PT Solder Inspection Machine – FREE SHIPPING!
5700 ondax 785nm raman laser 50mhz linewidth 80mw power ASE blocker
5701 Onoda Ozinator OR‐800Z OzoneRex Ozone Generator 95401‐2T OR‐800Z B3
5702 Onoda Ozinator OR‐800Z OzoneRex Ozone Generator 95505‐21
5703 Ontrack 10‐8084‐002, Queu Water Assembly, Cassett, Loading, Rinse
5704 Open Box! MKS R5027A 27MHz RF Generator ‐ R5027A‐A01
5705 OPTEC DAI‐ICHI DENKO EQ‐131AD AC85~265V
5706 OPTI PROBE OP5240 SYSTEM / BPP BPE DUV AE SE 1999 VINTAGE THERMAWAVE 
5707 OPTICAL GAGING PRODUCTS AV‐200 VIDEO MEASURING SYSTEM
5708 OPTICAL GAGING PRODUCTS OGP SMARTSCOPE 250 ZIP (#2780)
5709 Optima MKS ENI OPT‐200 20kW DC Plasma Generator ‐ New OPT‐200A
5710 OptiTemp OTC‐7.5AH‐C4‐436‐SC1‐HT1 Chiller
5711 Orbotech Trion‐2340 AOI Systems 3D Edge detection
5712 ORIEL DOUBLE SIDED MASK ALIGNER
5713 ORION ETN23A‐SC‐B / HEAT EXCHANGER PELTHERMO / ORION
5714 ORION STAR / PH METER / THERMO SCIENTIFIC
5715 Orthodyne 20 Ultrasonic Heavy Wire Bonder w/ Dereeler (refurbished)
5716 Orthodyne 20 Ultrasonic Heavy Wire Bonder w/ Dereeler (refurbished)
5717 Orthodyne 20 wire‐bonder
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 178 sales@semistarcorp.com
5718 Orthodyne 20 wire‐bonder
5719 Orthodyne 20R Ultrasonic Ribbon Wire Bonder (refurbished)
5720 Orthodyne 3700 Plus ‐ Small Wire Wedge Bonder ‐ Excellent Condition
5721 Orthodyne Electronics Ultrasonic Wire Bonder 20B
5722 ORTHOPLAN / MICROSCOPE / LEITZ WETZLAR
5723 Osaka TD3200 Vacuum LTD. Turbo Pump Controller Power Supply ‐ 50/60Hz
5724 Osaka TG1100F TG1100FBWB Turbomolecular Turbo Vacuum Pump ISO 200 Water Cooled
5725 Osaka TG1813BW Compound Molecular Pump
5726 Osaka TG2003M Turbo Vacuum Pump ISO250 ‐ *MINT* OEM REMAN
5727 Osaka Vacuum TC440 Power Supply ‐ AC200/220V ‐ A106151‐48
5728 Osaka Vacuum TD701/1101 Turbo Pump Controller Power Supply ‐ 200/220VAC 50/60Hz
5729 Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump
5730 OSAKA VACUUM TG1813BW COMPOUND MOLECULAR PUMP
5731 OSAKA VACUUM TG1813BW‐90 COMPOUND MOLECULAR PUMP
5732 Osaka Vacuum TG420MCAB Magnetic Suspended Compound Turbomolecular Pump, 452690
5733 OSI POLYCHECK W‐LAF
5734 Osmium sputtering target Os 99.9% 2" diameter x 0.25" thick: ACI ALLOYS
5735 Osram/Sylvania i‐Line HBO 1500W/CI HBO1500WCI Mercury Short Arc Lamp
5736 Ovation  3150861‐010 / Ovation 35162
5737 Ovation 35162 / 0190‐29389
5738 Overland Storage 10600127‐001 SnapSAN Controller ACC SSAN Dual Raid Card,
5739 Owens Design 3464‐003 Sapphire Tester Autoprober With Controller Untested
5740 Oxford | 6in Electrode Assembly
5741 OXFORD 80 REACTIVE ION ETCHER (R.I.E.)
5742 Oxford Instruments 100+ICP 180 plasmaLab 100 Inductively Coupled Plasma
5743 Oxford Instruments 133 ICP with 380 Source ‐ Ion Couple Plasma Etching System
5744 Oxford Instruments 7426 EDS X‐ray Detector with Link ISIS computer (20607‐001)
5745 oxford instruments 7516 cryogen free EDS EDX for SEM and FIB say goodbye to LN2!
5746 Oxford Instruments 90 Plus RIE Etching System
5747 Oxford Instruments 90 Plus RIE Reactive Etcher with Loadlock9
5748 OXFORD INSTRUMENTS M600 HELIUM COMPRESSOR 1025411 (1)
5749 Oxford Instruments Plasmalab 80 Plus Reactive Ion Etch System
5750 Oxford instruments Ionfab 300 Plus Ion Beam etching & deposition system
5751 Oxford Microanalysis 1184060 Opal Link Assembly C.7311 
5752 OXFORD PLASMALAB SYSTEM 400 SPUTTER COATER
5753 Ozone Sparge Unit
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 179 sales@semistarcorp.com
5754 P.system Opto System OBM‐90TP Wafer Breaking System
5755 Pacific 500 Ton X 16' 1980 Pacific Mo K500‐16 Hydraulic Press Brake. Fabrication
5756
PACIFIC SCIENTIFIC 17410‐05 / BRUSHLESS SERVO MOTOR,MODEL BLF2924‐10‐0‐S‐017 / PACIFIC 
SCIENTIFIC
5757
PACIFIC SCIENTIFIC 17410‐05 / BRUSHLESS SERVO MOTOR,MODEL BLF2924‐10‐0‐S‐017) / PACIFIC 
SCIENTIFIC
5758 Pacific Scientific Semitool Brushless Servo Motor Servomotor 17410‐17 BLF2924‐10
5759 Pacific Western P5 wafer prober, working!
5760 PacTech SB2‐JET‐LF Laser Assisted Solder Jetting Machine
5761 PALOMAR 60 Green Tape Cutter / Low Temp Co‐Fired Ceramic Processes
5762 Palomar GPD MiniMax II High Precision Dispenser 22200018 Complete 12/2009, 6051
5763 PALOMAR MODEL 60 GREEN TAPE CUTTER FOR LTCC CERAMICS MANUFACTURING
5764 Palomar SST 1200 / Scientific Sealing Technology Model 1200 Table Top Furnace
5765 Panasonic CM402 / CM602 gang exchange feeder trolley carts
5766 Panasonic LSC System Controller Unit BP225‐MJ PCB Rack 
5767 Panasonic SP60P‐M Screen Printer KXF‐1D3C
5768 Panasonic ST40S‐20 CM602 CM402 shuttle tray matrix tray feeder ‐ 20 trays
5769 Panasonic/Panasert ‐ HDP‐G1 XL
5770 Panasonic/Panasert ‐ MPAV2B
5771 Papenmeier Lodige High Intensity Mixer
5772 Paragon Rev. A MKS AX7700MTS‐01‐ PARTS ONLY
5773 Paramount 1k And 3k RF generator
5774 PARKER CPX 0500M / COMPAX CONTROLLER 951‐100200 / PARKER
5775 Parmi SPI HS60 Pattern Recognition Intelligence 3D Solder Paste Inspection HS60L
5776 Particle Measuring Systems PMS CLS‐910, 920, 930
5777 PCB Conveyor 24" Model 3011 W/ Tilt Simplimatic Automation Cimtrak
5778 PCB Unlimited ULD‐L‐NC Unloader
5779 PCBA / ANALYSER 160 / PHOENIX X‐RAY LINE / PHOENIX
5780 PCT Automation Systems Bare Board Loader BB1110
5781 PCT Megasonic Hyperclean Generator/Controller 6000 C/C
5782 PCT Tilt conveyor for any Wave Solder WV3014
5783 PD Burn‐In System TESTECH 9400
5784 PDR IR‐E3VI Evolution Focused Infrared SMT/BGA PCB Rework Station
5785 Peak Systems ALP 5000 Rapid Thermal Processor
5786 Pearl Kogyo CF‐500‐400K(CE) RF Power Supply Hitachi M‐712E 
5787 Pearl Kogyo CF‐500‐400K(CE) RF Power Supply Hitachi M‐712E 
5788 PEARL KOGYO CO LTD M‐30AW2VD‐27 / RF MATCHER
5789 Pearl Kogyo LP‐2000‐800KBX RF Power Generator Hitachi M‐712E 
5790 Pearl Kogyo RP‐500‐13(T04) RF Power Generator 13.56MHz 500W 3839‐000148‐13/TEL
The Items are in USA. They are subject to prior sale without notice. Appreciate your time!
ID-e-6-1-2020 180 sales@semistarcorp.com

More Related Content

What's hot

Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List Emily Tan
 
Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684Emily Tan
 
ハロゲンランプのスパイスモデルの事例
ハロゲンランプのスパイスモデルの事例ハロゲンランプのスパイスモデルの事例
ハロゲンランプのスパイスモデルの事例Tsuyoshi Horigome
 
Resistor Networks, Arrays -Resistors - componentship.com
Resistor Networks, Arrays -Resistors - componentship.comResistor Networks, Arrays -Resistors - componentship.com
Resistor Networks, Arrays -Resistors - componentship.com燕 欧
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipmentEmily Tan
 
Solar Cell SPICE Model Library Bee Technologies
Solar Cell SPICE Model Library Bee TechnologiesSolar Cell SPICE Model Library Bee Technologies
Solar Cell SPICE Model Library Bee TechnologiesTsuyoshi Horigome
 
SPICE MODEL of SSM3K15SF (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SSM3K15SF (Standard+BDS Model) in SPICE PARKSPICE MODEL of SSM3K15SF (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SSM3K15SF (Standard+BDS Model) in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of SSM3K15SF (Professional+BDP Model) in SPICE PARK
SPICE MODEL of SSM3K15SF (Professional+BDP Model) in SPICE PARKSPICE MODEL of SSM3K15SF (Professional+BDP Model) in SPICE PARK
SPICE MODEL of SSM3K15SF (Professional+BDP Model) in SPICE PARKTsuyoshi Horigome
 
Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020Emily Tan
 
Semiconductor equipment semi star may 2020-ss7270
Semiconductor equipment   semi star may 2020-ss7270Semiconductor equipment   semi star may 2020-ss7270
Semiconductor equipment semi star may 2020-ss7270Emily Tan
 
SPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARKSPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARKTsuyoshi Horigome
 
SPICE PARK OCT2021 (5,774 SPICE Models)
SPICE PARK OCT2021 (5,774 SPICE Models) SPICE PARK OCT2021 (5,774 SPICE Models)
SPICE PARK OCT2021 (5,774 SPICE Models) Tsuyoshi Horigome
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Emily Tan
 
SPICE MODEL of TK8A50D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK8A50D (Professional+BDP Model) in SPICE PARKSPICE MODEL of TK8A50D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK8A50D (Professional+BDP Model) in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of TPCA8064-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8064-H (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCA8064-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8064-H (Professional+BDP Model) in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of TK15A50D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK15A50D (Professional+BDP Model) in SPICE PARKSPICE MODEL of TK15A50D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK15A50D (Professional+BDP Model) in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of TPC8014 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPC8014 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPC8014 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPC8014 (Standard+BDS Model) in SPICE PARKTsuyoshi Horigome
 
SPICE MODEL of TPH4R606NH (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPH4R606NH (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPH4R606NH (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPH4R606NH (Standard+BDS Model) in SPICE PARKTsuyoshi Horigome
 

What's hot (20)

Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List
 
Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684
 
ハロゲンランプのスパイスモデルの事例
ハロゲンランプのスパイスモデルの事例ハロゲンランプのスパイスモデルの事例
ハロゲンランプのスパイスモデルの事例
 
Resistor Networks, Arrays -Resistors - componentship.com
Resistor Networks, Arrays -Resistors - componentship.comResistor Networks, Arrays -Resistors - componentship.com
Resistor Networks, Arrays -Resistors - componentship.com
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Solar Cell SPICE Model Library Bee Technologies
Solar Cell SPICE Model Library Bee TechnologiesSolar Cell SPICE Model Library Bee Technologies
Solar Cell SPICE Model Library Bee Technologies
 
SPICE MODEL of SSM3K15SF (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SSM3K15SF (Standard+BDS Model) in SPICE PARKSPICE MODEL of SSM3K15SF (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SSM3K15SF (Standard+BDS Model) in SPICE PARK
 
SPICE MODEL of SSM3K15SF (Professional+BDP Model) in SPICE PARK
SPICE MODEL of SSM3K15SF (Professional+BDP Model) in SPICE PARKSPICE MODEL of SSM3K15SF (Professional+BDP Model) in SPICE PARK
SPICE MODEL of SSM3K15SF (Professional+BDP Model) in SPICE PARK
 
Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020
 
Semiconductor equipment semi star may 2020-ss7270
Semiconductor equipment   semi star may 2020-ss7270Semiconductor equipment   semi star may 2020-ss7270
Semiconductor equipment semi star may 2020-ss7270
 
SPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARKSPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARK
 
SPICE PARK OCT2021 (5,774 SPICE Models)
SPICE PARK OCT2021 (5,774 SPICE Models) SPICE PARK OCT2021 (5,774 SPICE Models)
SPICE PARK OCT2021 (5,774 SPICE Models)
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141
 
SPICE MODEL of TK8A50D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK8A50D (Professional+BDP Model) in SPICE PARKSPICE MODEL of TK8A50D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK8A50D (Professional+BDP Model) in SPICE PARK
 
SPICE MODEL of TPCA8064-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8064-H (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCA8064-H (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCA8064-H (Professional+BDP Model) in SPICE PARK
 
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPCP8204 (Professional+BDP Model) in SPICE PARK
 
Schematic amp
Schematic ampSchematic amp
Schematic amp
 
SPICE MODEL of TK15A50D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK15A50D (Professional+BDP Model) in SPICE PARKSPICE MODEL of TK15A50D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK15A50D (Professional+BDP Model) in SPICE PARK
 
SPICE MODEL of TPC8014 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPC8014 (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPC8014 (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPC8014 (Standard+BDS Model) in SPICE PARK
 
SPICE MODEL of TPH4R606NH (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPH4R606NH (Standard+BDS Model) in SPICE PARKSPICE MODEL of TPH4R606NH (Standard+BDS Model) in SPICE PARK
SPICE MODEL of TPH4R606NH (Standard+BDS Model) in SPICE PARK
 

Similar to Semiconductor Equipment and Spare Parts 6

Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Emily Tan
 
Product Preview & Price List - Neptune India
Product Preview & Price List - Neptune IndiaProduct Preview & Price List - Neptune India
Product Preview & Price List - Neptune IndiaNeptune India Limited.
 
IX Iron Core Linear Motor
IX Iron Core Linear MotorIX Iron Core Linear Motor
IX Iron Core Linear Motorjuliangoal
 
Macron belt drive50_specsheet
Macron belt drive50_specsheetMacron belt drive50_specsheet
Macron belt drive50_specsheetElectromate
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Emily Tan
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on saleEmily Tan
 
Hygain_2014_Catalog.pdf
Hygain_2014_Catalog.pdfHygain_2014_Catalog.pdf
Hygain_2014_Catalog.pdfJhonny Zanardo
 
Semiconductor equipment and parts at san jose ca usa may 2020
Semiconductor equipment and parts at san jose ca usa may 2020Semiconductor equipment and parts at san jose ca usa may 2020
Semiconductor equipment and parts at san jose ca usa may 2020Emily Tan
 
Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Emily Tan
 
Semiconductor Equipment and Spare Parts 2
Semiconductor Equipment and Spare Parts    2Semiconductor Equipment and Spare Parts    2
Semiconductor Equipment and Spare Parts 2Emily Tan
 
Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Emily Tan
 

Similar to Semiconductor Equipment and Spare Parts 6 (12)

Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020
 
Product Preview & Price List - Neptune India
Product Preview & Price List - Neptune IndiaProduct Preview & Price List - Neptune India
Product Preview & Price List - Neptune India
 
IX Iron Core Linear Motor
IX Iron Core Linear MotorIX Iron Core Linear Motor
IX Iron Core Linear Motor
 
F1035R Ready Reactors
F1035R Ready ReactorsF1035R Ready Reactors
F1035R Ready Reactors
 
Macron belt drive50_specsheet
Macron belt drive50_specsheetMacron belt drive50_specsheet
Macron belt drive50_specsheet
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 
Hygain_2014_Catalog.pdf
Hygain_2014_Catalog.pdfHygain_2014_Catalog.pdf
Hygain_2014_Catalog.pdf
 
Semiconductor equipment and parts at san jose ca usa may 2020
Semiconductor equipment and parts at san jose ca usa may 2020Semiconductor equipment and parts at san jose ca usa may 2020
Semiconductor equipment and parts at san jose ca usa may 2020
 
Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020
 
Semiconductor Equipment and Spare Parts 2
Semiconductor Equipment and Spare Parts    2Semiconductor Equipment and Spare Parts    2
Semiconductor Equipment and Spare Parts 2
 
Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1
 

More from Emily Tan

Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipmentEmily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipmentEmily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipmentEmily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipmentEmily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEmily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEmily Tan
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryEmily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMEmily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Emily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsEmily Tan
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment InventoryEmily Tan
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Emily Tan
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaEmily Tan
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaEmily Tan
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceEmily Tan
 
Tester Equipment Parts Inventory
Tester Equipment Parts InventoryTester Equipment Parts Inventory
Tester Equipment Parts InventoryEmily Tan
 
Four dimensions 280 Four-Point Probe Mapping System
Four dimensions 280 Four-Point Probe Mapping SystemFour dimensions 280 Four-Point Probe Mapping System
Four dimensions 280 Four-Point Probe Mapping SystemEmily Tan
 
Plasma Cleaning Plasma treatment system March plasma system March AP-1000
Plasma Cleaning Plasma treatment system March plasma system March AP-1000Plasma Cleaning Plasma treatment system March plasma system March AP-1000
Plasma Cleaning Plasma treatment system March plasma system March AP-1000Emily Tan
 

More from Emily Tan (18)

Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd Source
 
Tester Equipment Parts Inventory
Tester Equipment Parts InventoryTester Equipment Parts Inventory
Tester Equipment Parts Inventory
 
Four dimensions 280 Four-Point Probe Mapping System
Four dimensions 280 Four-Point Probe Mapping SystemFour dimensions 280 Four-Point Probe Mapping System
Four dimensions 280 Four-Point Probe Mapping System
 
Plasma Cleaning Plasma treatment system March plasma system March AP-1000
Plasma Cleaning Plasma treatment system March plasma system March AP-1000Plasma Cleaning Plasma treatment system March plasma system March AP-1000
Plasma Cleaning Plasma treatment system March plasma system March AP-1000
 

Recently uploaded

Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersThousandEyes
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesSinan KOZAK
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slidespraypatel2
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonetsnaman860154
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking MenDelhi Call girls
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j
 
Maximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxMaximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxOnBoard
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...HostedbyConfluent
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024BookNet Canada
 
How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?XfilesPro
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 

Recently uploaded (20)

Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
Unblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen FramesUnblocking The Main Thread Solving ANRs and Frozen Frames
Unblocking The Main Thread Solving ANRs and Frozen Frames
 
Slack Application Development 101 Slides
Slack Application Development 101 SlidesSlack Application Development 101 Slides
Slack Application Development 101 Slides
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
How to convert PDF to text with Nanonets
How to convert PDF to text with NanonetsHow to convert PDF to text with Nanonets
How to convert PDF to text with Nanonets
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
Neo4j - How KGs are shaping the future of Generative AI at AWS Summit London ...
 
Maximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptxMaximizing Board Effectiveness 2024 Webinar.pptx
Maximizing Board Effectiveness 2024 Webinar.pptx
 
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
Transforming Data Streams with Kafka Connect: An Introduction to Single Messa...
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
Vulnerability_Management_GRC_by Sohang Sengupta.pptx
Vulnerability_Management_GRC_by Sohang Sengupta.pptxVulnerability_Management_GRC_by Sohang Sengupta.pptx
Vulnerability_Management_GRC_by Sohang Sengupta.pptx
 
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
#StandardsGoals for 2024: What’s new for BISAC - Tech Forum 2024
 
How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?How to Remove Document Management Hurdles with X-Docs?
How to Remove Document Management Hurdles with X-Docs?
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping Elbows
 
The transition to renewables in India.pdf
The transition to renewables in India.pdfThe transition to renewables in India.pdf
The transition to renewables in India.pdf
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 

Semiconductor Equipment and Spare Parts 6

  • 1. 4797 MECHEL 829Z BALL BONDER (Bond guaranteed) 4798 Mech‐El 907 45° Feed Ultrasonic Wedge Wire Bonder w/ Heated Workholder 4799 Mech‐El 907 45° Feed Wedge Wire Bonder w/Heated Workholder (refurbished) 4800 MECH‐EL 909 WEDGE BONDER WITH BAUSCH & LOMB & UTI LOGICON 5C‐11 4801 Mech‐El 990 Deep Access 90° Feed Wedge Wire Bonder w/ Heated Workholder 4802 MECS OFH3000‐001 Wafer Prealigner Hitachi I‐900SRT  4803 MECS UTC 350R ROBOT ARM WITH UTC 100A CONTROLLER 4 AXIS VAC. GRIP 4804 MECS UTV‐2500H‐SYSTEM Wafer Transport Robot System Controller CS‐7000 Used 4805 MECS UTX‐5000‐SYSTEM Wafer Transport Robot System Controller CS‐7000 Used 4806 MECS UTXN1311 Robot with 30 day warranty 4807 MECS/Cybeq/Asyst UTC800/UTC‐800 Wafer Handling Robot Arm 4808 Mega Fluid Systems MEGApure10SS Chemical Delivery System 4809 MEI 1204B BALL BONDER << 1 Year Warranty >> 4810 MEI 827 Wire Bonder 4811 MEI Marpet Mech‐El 709 Manual Eutectic Die Attach Bonder 4812 MEI/MECHEL 907 WEDGE BONDER (Guaranteed Bond) 4813 Meiden UA021/221H Industrial PC µPIBOC‐III Hitachi 2 WAVE EPD Controller M‐712E 4814 Meiden UA023/350A Lithius Career Station Controller TEL Tokyo Electron Used 4815 Meiden UA024/755H Industrial PC µPIBOC‐I Hitachi Operation Controller M‐712E 4816 MEIDEN UA035/131A / MEIDEN COMPUTER DUAL HD XP‐PRO UR033/013A 164GB / MEIDEN 4817 MEIDEN UA206/011S‐3000 / SCREEN P/N 2‐36‐7154 SLAVE TRANSFER CONTROLLER / MEIDEN 4818 MEIDENSHA Meiden UPIBOC‐ I Model UA026/811R Industrial Controller 4819 Meiki MHPC‐V‐300‐300‐1‐25 PCB Hot Lamination Press 300 x 300 mm 245 kN 4820 Melco Technorex EQ‐131AD‐D W/ Original Box, DNS/ KDNS PART 4821 Mellen Box Furnace, Model MTB12‐8X8X8‐1Z 4822 Mellen Box Furnace, Model MTB12‐8X8X8‐1Z 4823 Mellen Split Tube Furnace, Model SC11‐2X30‐1Z ‐TUBE FURNACE 4824 Mellen Split Tube Furnace, Model SV11‐3.25X24‐1Z ‐ TUBE FURNACE 4825 Mellen SV Split Tube Furnace, Model SV11‐5X72‐3Z LARGE 72" ** 6 FT LONG 3 ZONE** 4826 MELLES GRIOT 532R‐CNSR‐A01 / LASER EMITTER CONTROL UNIT / MELLES GRIOT 4827 MERCURY COM PCI02B2GH 910‐07061 / SGS PCB KLA 003099‐000 901‐40044R.1C XUV IMG /  MERCURY COM 4828 METAPULSE 200 / TOOL RMP402 / RUDOLPH RESEARCH CORP 4829 Metcal Apr 5000 XL (latest model) rework station APR‐5000‐XL 4830 Metcal Apr 5000 XL latest model rework station APR‐5000‐XL ser.001534 4831 Metcal APR‐5000‐DZ Array Package Rework System 4832 METCAL Scorpion APR‐1200‐SRS BGA rework machine 12/2017 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 151 sales@semistarcorp.com
  • 2. 4833 METER Segmented Inspection Conveyor 4834 Metricom 2010M Dual Wavelength Prism Coupler Film Thickness Measuring System 4835 Metron A127669 POD Door Short Weldment Rev. C TEL Tokyo Electron New 4836 Metron EcoSys Marathon 8500 Gas Scrubber/Burn‐Wet Abatement Treatment System 4837 Metronelec Menisco ST60 Solderability Tester 4838 MEYER BURGER PRECISION SLICING SAWS AG TS3 used w/hyd truing grinder/MAG CHK 4839 MGE T100H‐5002‐5 / TOPAZ 100 / MGE 4840 MGS Rotary Pick and Place Machine Model RPP‐421 4841 Micrion FEI 9500 peabody Focused Ion Beam Stage 4842 Micro Automation 1100 Dicing Saw ‐ Overall Excellent Condition. 4843 Micro Memory Inc. MM‐6326 VME Mainframe Board KLA Tencor 712‐404561‐00 4844 Micro Point Pro MPP i5000D Dual Ball & Wedge Manual Wire & Ribbon Bonder 4845 Micro Sense ADE 6300 3" ‐ 12" / 300mm Wafer Thickness / KLA‐Tencor 4846 MICRO VISION 740‐300 / MICROVISION ROBOT / MICRO VISION 4847 MicroAssembly MAT 6400 Automatic Thermal Die Attach System/Bonder 4848 MicroAssembly Technologies 6497 Semiautomatic Die Bonder 752 4849 Microfocus CS100 90kv X‐ray machine used in examination of electronic assemblies 4850 MicroJoin B‐4200‐B SERIES 4851 MICROLINE / CLEANER ACCEL 220VAC 3P 70A / SPEEDLINE TECHNOLOGIES 4852 MicroManipulator 2230 Wafer Level Electromigration Prober 3 Station 6" 4853 Micromanipulator 300mm probe Station With Thermal Chuck, wafer prober 4854 Micromanipulator 450PM‐A prober 4855 Micromanipulator 6"Prober Mitutoyo Microscope Probe Station with Laser Cutter 4856 Micromanipulator 6000 4" Inch Prober Probe Station Refurbished 1 Year Warranty 4857 MicroManipulator 6000 4" Manual Prober/Micro Manipulator 100 mm 4858 Micromanipulator 6000 High Resolution Manual Wafer Prober2 4859 Micromanipulator 6000 Probe Station With 2 Manipulators (1 Yr Parts Warranty) 4860 Micromanipulator 6100 Prober w/ 5" Chuck, (4) Micropositioners, Dark Box 4861 Micromanipulator 6200 4862 MICROMANIPULATOR 6200 MANUAL PROBING STATION 4863 MicroManipulator 6200 Probe Station Ultrasonic TempChuk 4864 Micromanipulator 6200 prober,Refurb Free Ship,1 YEAR Warranty, University Discnt 4865 Micromanipulator 6200 prober,Refurb Free Ship,1 YEAR Warranty, University Discnt The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 152 sales@semistarcorp.com
  • 3. 4866 Micromanipulator 7000 LTE Prober Dark Box1 4867 Micromanipulator 8 inch 3000 Volt Prober Cascade Microtech Probes Refub Tek 371A 4868 Micromanipulator Co. Model 6000 Wafer Probe Station *clean and shiny!* PLS READ! 4869 Micromanipulator Manual Probe Station w/2‐Probes, 6" Hot Chuck, Dark Box 4870 Micromanipulator Model 8840 Manual Probe Station 4871 Micromanipulator Probe Station w/ Mitutoyo Microscope Head and Lenses 4872 MICRONTOME 99400‐00 / CASE CANON SPECIFIC MULTI RETIC / MICRONTOME 4873 Microprocess Technologies Avenger Basic 8 Wafer Spin Rinse Dryer 4874 Microsence ADE 6033T Wafer Tester 4875 Microsence ADE 6033T Wafer Tester Thickness Measuring Gauge Great Condition 4876 Microsence ADE 6033T Wafer Tester Thickness Measuring Gauge Great Condition 4877 MIDAS TECHNOLGY DL‐4 DE‐LIDDER FOR HERMETIC MICROELECTRONIC PACKAGES DELIDDER 4878 MILARA BROOKS EQUIPE PRI ROBOT ATM‐105‐1‐S‐CE 6‐0002‐1353‐SP WAFER HANDLER  4879 Milara PRE201 Brooks 6‐0000‐1406‐SP Pre‐Aligner 4880 Milara SemiTouch ST2929 semi automatic stencil screen printer See Video! 4881 Milara ST‐2929 Semi‐Automatic Screen Printer 4882 MILLI‐Q, INTEGRAL WATER SYSTEM, WITH DISPENSER AND STORAGE TANK 4883 Mill‐Max 1028‐1‐05‐00‐00‐00‐01‐0 Standard Wire Wrap Terminal Pin 4884 Mini Automatic Benchtop Pick and Place Machine with Vision Works to 0201, LED 4885 Mint ‐ Axcelis Fusion M150PC Photostabilizer ‐ to 150mm / Refurb / 6 mo. wrty 4886 Mint Buehler Simplimet 2 Specimen Mounting Press / 1.5" Mold /Heater / 6 mo wrty 4887 Mint Gigatest GTL 4040 Precision Large Area Probe Station ‐ Full Config‐Warranty 4888 Mint N&K Analyzer System ‐ Model 1500 N&K Analyzer / Refurbished/ 4 Mo Wry 4889 Mint USI Ultron UH130 Die‐Matrix Expander/ 5" Wafer/UH 130 /Full 4 Mo. Warranty 4890 Mint! Nikon V20A Optical Comparator ‐ Full warranty ‐ 5x,20x,50x ‐ 4 Month Wrty 4891 Mint! Ohashi CAJ‐11 Tabletop Chip Aligner for LCD or FDC Refurbished / Warranty 4892 Mint! Palomar 2460‐V Automatic Thermosonic Hybrid Gold Ball Bonder/4 mo warranty 4893 Mint! Semitool 2300S Spin Rinser Dryer / PSC‐101 Controller w/ 4 Mo. Warranty 4894 Mint! Thermonics T‐2610BV / ThermoStream ATS 505 / 605 / ‐20C to 200C ‐ 6 mo wty The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 153 sales@semistarcorp.com
  • 4. 4895 Mint! Ultron USI UH201 Ultra Violet Curing System USI UH201 w/ 4 Month Warranty 4896 Mint!! Temescal CV‐6SL E‐Beam P/S with H.V & Gun Controls ‐ 4 month warranty 4897 MIRAE MR5500 MEMORY TEST HANDLER WITH MANUAL 4898 MIRRA Slurry / Chemical Pump Management Module Applied Materials AMAT 4899 MIRROR 2BN9‐5015‐000 MIRROR G1 4900 MIRROR BN9‐5015‐000 MIRROR 4901 MIRROR BN9‐5203‐00 LENS L7 LENS MIRROR 1 4902 Mirtec MV‐3L 2010 5MP 5 camera AOI Automated Inspection 4903 Mirtec MV‐7U AOI System 4904 MIRTEC MV‐7U AOI, MV 7 Series In‐Line AOI Machine, Mirtec AOI 4905 Mirtec MV7‐Xi Automated Optical Inspection System ‐ AOI 4906 Mirtec MV‐7Xi In‐line AOI machine, 5 Camera, 2008 4907 MITS AutoLab PCB Prototyping System With Camera w/9 tools 4908 MITSUBISHI  5‐39‐20231 / ROBOT MITSUBISHI (RV‐E14NHC‐SA06) / DNS / MITSUBISHI RV‐E14NHC‐ SA06 4909 MITSUBISHI 407‐355 / PAPER SET FOR COLOR VIDEO COPY PROCESSOR; MODEL CK2000BL 4910 Mitsubishi CO2 Lazer Processing System ‐ Lazer Cutting. Looking for swift sale. 4911 Mitsubishi MWX3 612 Used CNC WaterJet Fabrication 4912 MITSUBISHI N2/IPA BUBBLER, MITSUBISHI E300 TYPE 04300, ME1SEC, PEPPERL+FUCHS 2779,  VERIFLOW 4913 MITSUBISHI RV‐18SC‐S07 / MELFA TRANSFER ROBOT TEL EXPEDIUS PLUS (CR3‐535‐S07) /  MITSUBISHI 4914 Mitsubishi RV‐E14NHC‐SA06 Wafer Transfer Industrial Robot Tokyo Electron TEL 4915 MITSUBOSHI DIAMOND ME‐1099 FLAT PANEL DISPLAY GLASS SCRIBER XY ROTARY STAGE 4916 MIYACHI UNITEK 15 KVA AUTOMATED 6 STATION PIN WELDER / SPOT WELDER 275K NEW 4917 MIYACHI UNITEK 15 KVA AUTOMATED 6 STATION PIN WELDER / SPOT WELDER 275K NEW 4918 MIYACHI UNITEK ISA‐2000 CR INVERTER POWER SUPPLY SPOT WELDING OR FUSING 4919 Miyachi Unitek Micropull V Wire Bond Pull Tester (MP5/DL, 6‐105‐02) 4920 MJC Probe Incorporation Wafer Prober / Probing Station LEDA‐8F‐3G 4921 MKS 123714‐G1 Flow Verifier, GBROR InSitu, 452783 4922 MKS 137746‐G1 / MKS CONTROLLER‐GBROR INSITU FLOW VERIFIER (54‐123388A15) / MKS 4923 Mks 600 Series Pressure Controller Model 651C‐16106 4924 MKS 750W Generator and AE 1013‐L35Z Matching network with laptop 4925 MKS 750W RF Plasma Generator ELITE 750FP‐01 Air Cooled 4926 MKS AS1003‐14 Chamber Controller AMAT 0090‐06456, 0090‐02527 PCB, 452420 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 154 sales@semistarcorp.com
  • 5. 4927 MKS Astex Astron HF AX7640 Remote Plasma Source / Reactive Gas Generator 4928 MKS Astex AX2630LRC3‐S Mwave Match for 2300 Versys Kiyo Microwave Strip System 4929 MKS ASTEX AX3060PSK‐1 SMART MATCH 4930 MKS ASTeX AX8300A Ozone Generator AMAT Applied Materials AX8000 AX8300QTI 4931 MKS ASTeX AX8500 Ozone Delivery System AX8555 W/ 2 x AX8560 Generator & Montor 4932 MKS ASTeX AX8561‐205H O3MEGA Omega Integrated Ozone System 4933 MKS Astex Ozone Delivery System AX8555‐13051‐16BV 4934 MKS ASTeX OZONE Generator, AX8407A 4935 MKS ASTeX R*evolution AX7690‐20, Rev H, RF Plasma Source / Generator, Used Pull 4936 MKS Astron Generator, AX7651 (Novellus FI20656‐1) 4937 MKS Astron RPS Remote Plasma Source, 452385 4938 MKS ASTRONhf+ AX7635‐02, Remote Plasma Source 4939 MKS AX7645PS‐01 RF Generator, ASTRONhf‐s, 27‐277368‐00, ASTeX, 452377 4940 MKS AX7700‐10 4941 MKS AX7700MTS‐01 4942 MKS AX7700MTS‐01 Paragon F* Intelligent Remote Plasma Source No Box* 4943 MKS B‐5002 Spectrum ENI RF Generator P/N: B‐5002‐06 4944 MKS B‐5002 Spectrum ENI RF Generator P/N: B‐5002‐11051 4945 MKS BARATRON CAPACITANCE MANOMETER 4946 MKS BMT 930 Ozone Monitor *new surplus, 90 day warranty* 4947 MKS ENI ACG‐6B‐07 / RF GENERATOR RF OUTPUT 800W 13.56 MHZ / ENI 4948 MKS ENI GEW Series RF Power Generator 3.5kW 25.764‐28.476 MHz GEW3527‐3U 08019 4949 MKS ENI GHW‐50 RF GENERATOR‐ GHW50A‐13DF3L0‐006  4950 MKS ENI GHW‐50 RF GENERATOR‐ GHW50A‐13DF3L0‐006  4951 MKS ENI GHW‐50 RF GENERATOR‐ GHW50A‐13DF3L0‐006  4952 MKS ENI GHW‐50 RF GENERATOR‐ GHW50A‐13DF3L0‐006  4953 MKS ENI GHW‐50 RF GENERATOR‐ GHW50A‐13DF3L0‐006  4954 MKS ENI OEM‐2000 13.56 MHz RF Power Supply Refurbished w/ warranty 4955 MKS ENI Spectrum 3013‐5 RF Generator B‐3013 RF Generator ** 4956 MKS ENI Spectrum B 3013‐05 RF Generator w/ CEX Power Supply 3kW 13.56 MHz Tested 4957 MKS FI20162‐1 3.0 Kw MAG HEAD 4958 MKS GBR2B23CR100 GBROR In Situ Flow Verifier, AMAT 1040‐00161, 452697 4959 MKS GBR2B23CR100 GBROR In Situ Flow Verifier, Kit, AMAT 1040‐00161, 452696 4960 MKS Generator EDGE 400kHz R210R4A‐15044 PN: 660‐210103‐100 4961 MKS HPQ high pressure RGA cables supply software uhv vacuum to 80 mtorr! SRS The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 155 sales@semistarcorp.com
  • 6. 4962 MKS HPQ high pressure RGA cables supply software uhv vacuum to 80 mtorr! SRS 4963 MKS HPQ2 High Pressure Residual Gas Analyzer w/ Process Eye Software SRS RGA 4964 MKS HPQ‐IP RGA Residual Gas Analyzer Assembly w/ Granville Phillips Gauge 4965 MKS Instruments ASTeX FI80131 ASTRONe Industrial Remote Plasma Source RPS 4966 MKS LVF3560A‐10B‐05 / LAM PN 660‐072826‐200 /60 MHZ GENERATOR / PE 208V/MKS 4967 MKS LVG3560A‐11BA‐05 60MHz Generator LVG3560A 660‐072826‐625 4968 MKS MicrovisionIP RGA Residual Gas Analyzer 4969 MKS Model GEW‐3540 REV 00J RF Power Generator, ES2L39‐000005‐23, 3500W 40.68MHZ 4970 MKS OPT‐200A‐06 Optima DC Plasma Power Supply System & Accessories 622762 Tested 4971 MKS Ozone generator Model AX 8560 4972 MKS PBMS2A Portable Baratron Measurement System PN: PBMS2A0111B82, 1 & 10 Torr 4973 MKS PBMS2B / PORTABLE BARATRON MEASUREMENT SYSTEM / MKS 4974 MKS PBTS1A01UB2 Portable Pressure Tester, Type 670BD21, w/ Baratron 417170 4975 MKS PC87927 / OZONE SYSTEM CONTROLLER CHASSIS ASTEX BACKPLANE ASSEMBLY / MKS 4976 MKS PICO LEAK DETECTOR MSVAH160000 MASS SPECTROMETER 4977 MKS RPG‐50A / RPDG‐50A‐00 AC IN‐3/PE‐200‐208V +/‐ 10% 30A 50/60HZ OUT 5KW / MKS 4978 MKS SA88988‐01 / OZONE GENERATOR POWER DISTRIBUTION UNIT 120VAC/TOTAL 4.0A / MKS 4979 MKS turnkey mobile RGA cart with oil free pumping, computer software inficon / mks 4980 MKS UTI QUALITORR REMOTE STATION CONTROLLER RACK APPLIED MATERIALS 4981 MKS Vacuum Gauge Calibration and Verification System 4982 MKS‐AX7650‐ ASTEX REACTIVE GAS GENERATOR 4983 ML3475A‐ASM / SERVO MOTOR / PARKER 4984 Modular Process Technology RTP‐600S Rapid Thermal Processor for 6" Wafer 4985 Modutek Waste Collection Cabinet, 55 gallon drums, qty 3 capacity, new condition 4986 Modutek Waste Collection Cabinet, 55 gallon drums, qty 3 capacity, new condition 4987 MOELLER/EATONNZMN3‐AE400, Circuit. Breaker.  4988 MoldMan 2017 MoldMan Model 1050 Low Pressure Molding Machine ‐ Electronics Overmolding 4989 Molecular Beam Epitaxy System by Omicron MBE Epitaxial The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 156 sales@semistarcorp.com
  • 7. 4990 Molybdenum Puck Surfscan 6200 End Effector Surf KLA Tencor 0277852‐000 4991 Mosaid MS4155 PC Memory Test System 4992 Motorola 01‐W3394F04C Single Board Computer PCB Card Nikon NSR‐S205C Spare 4993 MOTOROLA BGA MSA‐250‐A PLUS / BALL MOUNTER / MOTOROLA 4994 Motorola MVME162P‐344E MVME PCB, FAB 84‐W8528F01D, MVME162 P344E, 452576 4995 MP6‐2Q‐1E‐4LL‐4NN‐00 / POWER SUPPLY ASSEMBLY,5,12,15,24V 18‐016312 / ASTEC 4996 MPI Corp. LEDA‐8F 3G Plus‐V 110 LED Prober Station 4997 MPI Corp. LEDA‐8F E3G Plus Led Prober (Made in 10/2012) 4998 MPI DAVUM TMC HG7900 / KENKO KTS‐100RSV SMD HOT GAS WORK STATION 4999 MPM Accuflex Screen Printer SMT, PCB W/ 2D Inspection 5000 MPM Accuflex Screen Printer SMT, PCB W/ 2D Inspection 5001 MPM Corp. SP‐1500 Automated Screen Printing Machine 5002 MPM Corp. SP200 Semi Automatic Automated Screen Printing Machine 5003 MPM Momentum Screen Printer 5004 MPM P/N 1005434‐01 FORCER Y LEFT FOR AP SERIES 5005 MPM P/N 1005434‐02 FORCER Y RIGHT FOR AP SERIES 5006 MPM P/N 1005434‐03 FORCER X AXIS AP SERIES 5007 MPM P/N 1008424 Motor, Vision Y, Assy. For the UP1500/Accuflex 5008 MPM SP‐1500 Screen Printing Automated Machine 5009 MPM SP‐1500 Screen Printing Automated Machine 115V 2093 5010 MPM SP‐1500 Screen Printing Automated Machine 115V 2287 5011 MPM SP‐1500 Screen Printing Automated Machine 115V 3010 5012 MPM SP‐1500 Screen Printing Automated Machine 115V 3038 5013 MPM SP‐1500 Screen Printing Automated Machine 115V 3205 5014 MPM SP‐1500 Screen Printing Automated Machine 115V 3349 5015 MPM Speedline 125 2008 Automatic screen printer momentum 5016 MPM Speedline 2011 Momentum Elite Screen Printer, Edgeloc #100186 5017 MPM Speedline Momentum Elite Screen Printer 12/2011, 2D, Quktool 5018 MPM SPM Semi Automatic Stencil Printer 5019 MPM UP2000 Printer Universal GSM Pick and Place Heller 1500 PCB SMT Line 5020 MPM UP2000 Stencil Printer Automatic Ultraprint SMT PCB Screen PC Board 5021 MPM UP2000 Stencil Printer PC Board SMT BGA Solder PCB Fully Automatic Screen 5022 MRC 822 Sputter System. Looking for a swift sale, please make offer, Motivated 5023 MRC 8667 A Sputtering System CTI Cryo‐Torr 8 Cryopump Vacuum 5024 MRC 902 Sputtering System, 2 Targets 5025 MRC 943 Sputter System. Looking for a swift sale, please make offer, Motivated 5026 MRC A120340/RMA‐10 MAGNET ASSY/MRC The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 157 sales@semistarcorp.com
  • 8. 5027 MRC ECLIPSE SOFT ETCH PROCESS KIT 4653879‐0002 5028 MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. G Eclipse Star Used 5029 MRC Materials Research A118144 Wafer Chuck RF Cylinder Rev. G Eclipse Star Used 5030 MRC Materials Research A120024 Sputtering System Remote Stand Eclipse Star Used 5031 MRC Materials Research A120946 Chamber Assembly Eclipse Star  5032 MRC Materials Research Corp A120024 Sputtering System Remote Stand Rev. B Used 5033 MRC Materials Research Corp A120024 Sputtering System Remote Stand Rev. B Used 5034 MRC Materials Research Corp A120024 Sputtering System Remote Stand Rev. C Used 5035 MRC PVD Metal and PVD oxide Model 693 5036 MRI Disc storage Hard Drive Hitachi Hard Drive: HITACHI: DK312C‐25  5037 MRL 150 mm single stack oxidation furnace 5038 MRL 150mm 200mm Lab Sandvik MRL Diffusion Anneal Furnace, Used, Tested and Working 5039 MRL 200 mm 2 stack furnace oxide, load station, furnace source cab, semy control 5040 MRL 300mm 3 Zone Single Tube Semiconductor Furnace 5041 MRL 4 Stack Horizontal Furnace, 200 mm, Soft Close, Rapid Cool, Sandvik Controls 5042 MRL INDUSTRIES ‐ 0440786088 ‐ ATM AZTEC HELIX ‐ BLACK MAX 5043 MRL INDUSTRIES 4600819112 REV 2 CORE, BLACK MAX FURNACE HEATER ELEMENT EXT NG 34 5044 Mrl Industries Mini Stackable Furnace Four Tubes Model 908 5045 MRL Model 14TC45 5 Zone Reflow Furnace 5046 MRL Sandvik Cyclone 430 diffusion furnace, loadstation, source cabinet, tmx 5047 MRSI 505 Flip Chip Pick & Place Eutectic Die Attach Bonder Waffle Pack Tray 5048 MRSI 505 Flip Chip Pick & Place Work Cell Assembly Die Bonder System 5049 MRSI‐170 G GANTRY AUTOMATIC LIQUID DISPENSING SYSTEM 5050 MSC 49" x 9" Table Variable Speed 3 HP Ph Knee Mill Machine R8 Spindle Taper 5051 MTEK Corp / Semitool / STI, SRD, Stand Alone, Single. Spin/Rinse/Dry 5052 MTEK Corp /Semitool SRD, Model 870, Dual Stack with upgraded Thornton Controller 5053 MTI INSTRUMENTS PROFORMA 300 5054 MTI INSTRUMENTS PROFORMA 300 G Wafer Thickness Gauge up to 300 mm Silicon Wafer 5055 MTI Muto Technology MT‐49949 Sput #1 TiW Shield Kit MRC D123611‐A New 5056 MTI Muto Technology MT‐49950 Sput #2 TiW Shield Kit MRC D123611‐A New The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 158 sales@semistarcorp.com
  • 9. 5057 MTI Muto Technology MT‐49951 Sput #3 Cu Shield Kit MRC D123611‐A Copper New 5058 MTS 01 Acid Manual Wet Bench 5059 MTS 840 VIBRATION TEST SYSTEM 44"x36"x3" TABLE HYDRAULIC+15HP 480V MOTOR+458.20 5060 MTS Nano Indenter SA2 System + Control Unit | Computers | Vibration Table MORE 5061 MTS Solvent Bench 5062 Multicam 2012 Multicam Series 3000 6' x 12' WATERJET CUTTING, Fabrication Ref # 7800442 5063 MultiProbe MultiScan Atomic Force Probe Incomplete For Parts As‐Is 5064 MultiTest MT 8704 i IC Test Handler, Working, SOIC 150 & 300 mil Change Kits 5065 Multitest Multitest Elektronische Systeme GmbH P/N 49‐18‐18‐00 5066 MV Systems Dual Chamber PECVD for aSi/cSi/cGe Deposition 5067 MVS 01‐18‐01120 / MVS CONTROLLER PRISM CONTROL / ULTRATECH 01‐18‐01120 MVS 5068 MWD‐55LD‐01, 3D80‐000076‐V4 / AUTOMATCH,13.56 MHZ, 100‐220V 50‐60HZ / TEL 5069 MWH‐5‐01M3 / AUTOMATCH / ENI 5070 MX40F / MICROSCOPE / OLYMPUS 5071 Mydata / Electro‐Design EP785 Board Inverter / 180 Degree 5072 MyData AGILIS LM1216 Magazine Mycronic L‐014‐1562 5073 MyData AGILIS LM8 Magazine Mycronic L‐014‐1561 5074 MYDATA AGILIS M8 Feeder Magazines L‐014‐1471 + 16 4.7 yellow 5075 MyData K‐013‐0031B X‐Belt Motor + Flexible Joint + X‐motor transducer 5076 MyData MY12E Pick & Place + Hydra (2006) 5077 Mydata MY19 SMT Placement System 5078 MYDATA MY500 Control HUB L‐039‐0031 5079 MyData MY500 Jet Printer (2013) 5080 MyData MY9E Pick & Place w/ Hydra (2007) 5081 MyData MyCronic 3 Bay TRAY WAGON MAGAZINE L‐025‐0074B 5082 MYDATA Mycronic MY12, T3, Midas, Dual Vision, Agilis capable, TPSyS 2.9 software 5083 MYDATA Mycronic MY12E 2008, TPSyS 2.9.11 software, Hydra, Linescan, T3 table 5084 MYDATA MYCRONIC MY19E pick and place, T3, TPSys 2.9.11, Linescan 5085 MyData Pick and Place Machine MY12E 5086 MyData TEX tray exchanger feeder L‐024‐0100B 5087 MyData TM8FC Magazine ‐ Part # L‐140‐21C 5088 Mydata TP9‐2 AUTOMATION VISION SYSTEM 5089 MyData Transfer Conveyors 5090 MyData TRAY WAGON MAGAZINE 2 MODULE TRAY 5091 Mydata/Mycronic Tex Tower Tray Exchanger 5092 Mydata/Mycronic Tex Tower Tray Exchanger Part # P‐024‐0100B 5093 Mydax 1VLH7WA Chiller *, 90 day warranty* The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 159 sales@semistarcorp.com
  • 10. 5094 Nanoimprint equipment with automated template delivery, 50 mm to 150 mm, thermal 5095 NANOMETRICS 7000‐023212 0240‐49130 / NANO OCD 9010B INT MET / NANOMETRICS0190‐39713  AMAT 5096 NANOMETRICS 7000‐029708 7200‐022943 / 7200‐026890 9010 LITHOS PRO / NANOMETRICS 5097 Nanometrics 7000‐033895 IMPULSE Integrated Metrology Chuck Aare 565 094 329 5098 Nanometrics 7000‐033895 IMPULSE Integrated Metrology OCD & Film Analysis System 5099 Nanometrics 7201‐1267 Wafer Inspection/Measuring Station W/Newport Table 5100 Nanometrics 8300X Thin Film Metrology Tool 5101 NANOMETRICS 9100 NANOSPEC 9100 MINI Z‐STAGE 9407‐010743 N‐2000‐1000‐02 5102 Nanometrics Nanospec 6100 Table Top Film Analysis System ‐ Full Warranty 5103 Nanometrics NANOSPEC 9000I 300MM Wafer Integrated Film Analysis System 5104 National Electronics MH3.0W‐SLA/2‐A Microwave Magnetron Head 5105 National Instruments NI cDAQ‐9184 CompactDAQ Chassis w/ NI 9211 NI 9213 NI 9234 5106 Neat 330UP Programmable Motion Controller w/ Stage 5107 NEAT XYZ 3‐Axis Precision Stage Wafer inspection New England Affiliated Danaher 5108 NEAT XYZ 3‐Axis Precision Stage Wafer inspection New England Affiliated Danaher 5109 NEC FC‐9801B / MODEL‐2 INDUSTRIAL COMPUTER 80A WITH EXCHANGE / NEC 5110 Neoden 4 PnP Machine w/ Vision, Manual Solder Printer and T5 Oven Included 5111 Neoden 4 SMT Pick and Place Machine with Vision (pre‐paid tariffs) 5112 Neoden IN6 Reflow Oven ‐ Deluxe (w/ stand, two filter sets) 5113 Neoden IN6 Reflow Oven, standard tabletop model ‐ FREE SHIPPING 5114 Neoden T5L SMT Reflow Oven (pre‐paid tariffs) 5115 Neslab  Chiller Neslab HX+300, Water cooled. Tested and certified with warranty. 5116 NESLAB 390299071615 / CHILLER HX 300 WC D3 CMP / NESLAB 5117 NESLAB 392205051706 /HX750 HX+750W CHILLER NESLAB / THERMOFISHER SCIEN CP‐75 208VAC 5118 Neslab CFT‐25 Chiller Coolflow Refrigerated Recirculator 15GAL Water Tank 422613 5119 NESLAB CFT‐25 Refrigrtd Recirc Chill;Leroy Somer LS90;Galileo TP D045;Leybold... 5120 Neslab Chiller Neslab HX+300, Water cooled. Tested and certified with warranty. The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 160 sales@semistarcorp.com
  • 11. 5121 Neslab HX 750 Recirculating Chiller Thermo Fisher Scientific HX‐750W TU‐9 452369 5122 Neslab HX+150W, Water cooled chiller. Clean. Tested and certified with warranty. 5123 Neslab HX‐150 Recirculating Chiller 5124 Neslab HX300 Recirculating Chiller, 390299071602, 199089038, 396375 5125 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452517 5126 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452518 5127 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452519 5128 Neslab Merlin M150 Recirculating Chiller, Thermo Fisher Scientific, 452520 5129 NESLAB STEELHEAD 1 Used 5130 NESLAB STEELHEAD‐0 5131 Neslab ThermoFlex 5000 Recirculating Chiller, Thermo Fisher Scientific, 452522 5132 NESLAB, COOLFLOW REFRIGERATED RECIRCULATOR MODEL HX‐300 5133 Neutronix Quintel 7000 High Resolution Mask Aligner 5134 NEUTRONIX QUINTEL 7000 HIGH RESOLUTION MASK ALIGNER (REFURBISHED) 5135 Newport 1000W ARC Lamp With Power Supply & Cables 92514‐1000 & 69920 5136 NEWPORT ILS150PP WITH NEWPORT VP‐5ZA 5137 Newport MM4006 Motion Controller, AC Autorange 100‐240 Vac, 47/63Hz, Input: 600V 5138 Newport MM4006 Motion Controller, AC Autorange 100‐240 Vac, 47/63Hz, Input: 600V 5139 Newport Research Corp (NRC) Optical / Vibration Isolation Table 96" x 48" 6" 5140 NEWPORT ROI "DATA STAR" VISION METROLOGY SYSTEM 5141 NEWPORT ROI "DATA STAR" VISION METROLOGY SYSTEM 5142 NEWPORT ROI "DATASTAR" VISION METROLOGY SYSTEM AND ROI AUTOCHECK 5143 Newport ROI Data Star optical CMM 5144 NEWPORT TWI 45‐021480 / THERMA‐WAVE 5241 X‐Y‐Z STAGE, 1302, 2601, OPTI‐PROBE / NEWPORT 5145 Nextec Hawk DS100 3D Inspection Technologies 5146 Nextest Maverick 2 PT Maverick PT‐HF Bitemap Tester ** 5147 NF Corp/Ulvac Ulcoat Kit‐24489 0.5‐2 Hz 200 V 16 kVA Magnet Coil Power Supply 5148 NG‐OK DUAL SMT Magazine Unloader 5149 Niagara E110S, 120 Ton OBI Press Fabrication Ref # 8039271 5150 Niagara E‐250‐S 275 Ton OBI Press Fabrication Ref # 8068116 5151 NIBCO 922275‐003 CFS Rev.1, PVC‐I NSF‐PW D2467 8", Valve, Assembly. 417047 5152 NICHIYO ENGINEERING CORP. 07030 UNIT OZONE KILLER, MODEL KCM‐480G , 07030 5153 NICOLET GR‐X160 / GEN RAD GR‐160XL NICOLET 166‐0208 00 5154 Nicolet Instrument ECO8S FT‐IR Infrared Spectrometer Used The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 161 sales@semistarcorp.com
  • 12. 5155 NICOLET NXR‐1400 X‐RAY SYSTEM MACHINE WITH PRINTERS 5156 Nidek FT‐11 Horizontal Loading Flatness Tester Checker Tested Not Working As‐Is 5157 Nihon Koshuha AMC‐39D‐4502A‐00 450MHz RF Automatching Tuner Clayton Manual Tuner 5158 Nihon Koshuha AMC‐39D‐4502A‐00 450MHz RF Automatching Tuner with Manual Tuner 5159 Nihon Koshuha MBA‐010‐H‐2 1kW RF Matching Box Assembly  5160 Nikon 1st Relay Unit MAN‐D34A10B NSR‐S307E DUV Scanning System BMU Unit Used 5161 Nikon 1st Relay Unit MAN‐D34R10B NSR‐S205C Step‐and‐Repeat Exposure System Used 5162 Nikon 200mm Wafer Prealigner Assembly 2S013‐076 OPTISTATION 3  5163 Nikon 200mm Wafer Prealigner Assembly OPTISTATION 3 Incomplete No PCB Used 5164 NIKON 240‐SF STEPPER SCANNER RETICLE MOTOR ASSEMBLY‐ CHIBA AND HARMONIC SERVOS 5165 Nikon 2FE 2nd Fly's Eye Lens SHRINC Revolver NSR‐S204B  5166 Nikon 2nd Group Zoom Lens NSR‐S205C Step‐and‐Repeat Exposure System BMU Used 5167 Nikon 2nd Relay Front Optic Lens NSR‐1755G7A Step‐and‐Repeat G‐Line System Used 5168 Nikon 2nd Relay Front Optic Lens NSR‐S204B Step‐and‐Repeat System  5169 Nikon 2nd Relay Rear Optic Lens NSR‐1755G7A Step‐and‐Repeat G‐Line System Used 5170 Nikon 2nd Relay Rear Optic Lens NSR‐S204B Step‐and‐Repeat Scanning  5171 Nikon 2S700‐664 Prealigner Optical Sensor Assembly 2S700‐536 OPTISTATION 3 Used 5172 Nikon 300mm Pin Chuck 12 Inch NSR‐S306C 300mm DUV Scanning System  5173 Nikon 300mm Pin Chuck NSR‐S205C Step‐and‐Repeat Exposure System  5174 Nikon 4B041‐417 WT Voice Coil Motor VCM NSR‐S306C DUV System  5175 Nikon 4B041‐418 WT Voice Coil Motor VCM NSR‐S306C DUV System  5176 Nikon 4B041‐419 WT Voice Coil Motor VCM NSR‐S306C DUV System  5177 Nikon 4B701‐247 Nozzle Return NSR‐S620D ArF Immersion Scanner System Used 5178 Nikon 4B990‐218‐1 Reticle Blind Unit NSR 5179 NIKON 4B990‐967AN ‐ S202+ (NSX) Reticle 5180 Nikon 4B991‐187‐1AN ARB Blinds Unit 4S013‐311 4S013‐312 NSR‐S204B Untested As‐Is 5181 NIKON 4B991‐391AN / SHOCK ABSORBER (RFC) / NIKON 5182 Nikon 4G680‐526AN RPF 2% Concave NSR‐S204B New 5183 Nikon 4G680‐674AN RPF 1W FORM NSR‐S204B New 5184 Nikon 4G746‐012 TTLFC2 Plate NSR‐1755G7A Step‐and‐Repeat G‐Line System Working 5185 Nikon 4G746‐042 Wafer Stage Fiducial Plate NSR‐S202A System  5186 Nikon 4G746‐049 Wafer Stage Fiducial Plate NSR‐S204B System  5187 Nikon 4G746‐061‐1 Wafer Stage Fiducial Plate NSR System  The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 162 sales@semistarcorp.com
  • 13. 5188 Nikon 4G746‐083‐1 Wafer Stage Fiducial Plate NSR System  5189 Nikon 4G746‐090 AIS/BFP Plate NSR‐S306C DUV Scanning System  5190 Nikon 4G746‐103 AIS/BFP Plate NSR‐S307E 300mm DUV Scanning System  5191 Nikon 4G746‐103‐2 AIS/BFP Plate NSR System  5192 Nikon 4G746‐201 Wafer Stage Fiducial Plate NSR System  5193 NIKON 4K151‐040‐1AN / CHEMICAL FILTER (OA) 2 / NIKON 5194 Nikon 4K171‐841‐2 Power Controller RR‐002V1.3 NSR‐S202A  5195 Nikon 4K171‐841‐2 Power Controller RR‐002V1.3 NSR‐S202A  5196 Nikon 4K171‐841‐3 Controller NSR‐S202A  5197 Nikon 4K171‐841‐3 Controller NSR‐S202A  5198 Nikon 4K171‐841‐3 Controller NSR‐S202A  5199 Nikon 4K177‐955‐2 Power Controller 4S587‐244 NSR‐S204B Step and Scan Used 5200 Nikon 4K177‐955‐2 RD Reticle Transfer Robot NSR Stepper Series  5201 Nikon 4K177‐955‐2 RD Reticle Transfer Robot with End Effector NSR‐S204B Used 5202 NIKON 4K177‐955‐4 / RETICLE TRANSFER ROBOT NSR‐S204B SCANNING SYSTEM / NIKON 5203 Nikon 4K191‐632‐1 RH Reticle Transfer Robot NSR‐S306C Used 5204 Nikon 4K577‐176 Integrator Sensor NSR‐S204B Step‐and‐Repeat System Non‐Copper 5205 Nikon 4K577‐176 Integrator Sensor NSR‐S306C DUV System  5206 Nikon 4K577‐177 Integrator Sensor NSR‐S202A Step‐and‐Repeat System  5207 Nikon 4K578‐494 SHRINC Fly's Eye Box Revolver 4K578‐468 NSR‐S205C  5208 Nikon 4K578‐494 SHRINC Revolver 4K578‐498 NSR‐S205C  5209 Nikon 4K578‐992 SHRINC Revolver 4K578‐993 NSR‐S205C  5210 Nikon 4L990‐797AN Prism Unit NSR Scanner  5211 NIKON 4L991‐769AN/PREALIGNMENT2 DRIVE UNIT/NIKON 5212 Nikon 4L991‐783AN Depolarizer NSR‐S306C New 5213 Nikon 4S001‐102 IOP Control Unit NSR‐S205C Scanning System Working Spare 5214 Nikon 4S005‐378 Integrator Sensor 4S005‐378‐INTG‐X4 NSR‐S205C Exposure Used 5215 Nikon 4S007‐123  Analog/Digital Converter PCB Card LIA‐I/F NSR System Used 5216 Nikon 4S007‐146 Processor PCB Card RPEM‐PSD 4S007‐146  NSR System  5217 NIKON 4S007‐174 5218 Nikon 4S007‐692‐1 Pre‐Align Assembly NSR‐S204B Step‐and‐Repeat System Used 5219 NIKON 4S007‐953‐A / RELAY BOARD PCB WL3MOT5 / NIKON 5220 NIKON 4S008‐043/ALG‐NAL PCB (REV‐B)/NIKON 5221 Nikon 4S008‐043‐A Interface Board PCB ALG‐NAL NSR‐S306C  5222 Nikon 4S008‐043‐A Interface Board PCB ALG‐NAL NSR‐S307E DUV 300mm Used 5223 Nikon 4S008‐529‐1 Relay Communications Board PCB X6C‐EPBODY NSR‐S610C Spare 5224 Nikon 4S010‐002‐1‐  Processor PCB Card LIA‐CNT 4S015‐024‐1‐  NSR System Used 5225 NIKON 4S013‐360/MIS‐PACONX4 P.C.B./NIKON The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 163 sales@semistarcorp.com
  • 14. 5226 Nikon 4S013‐487 Interface Board PCB IFIOPIF3 VME Card 4S015‐259 NSR‐S307E Used 5227 Nikon 4S013‐487 Interface Control Board PCB IFIOPIF3  5228 Nikon 4S013‐487 Interface PCB Card IFIOPIF3 VME Card 4S015‐259 NSR‐S205C Used 5229 Nikon 4S013‐840‐2 Interface Board PCB RSX8_I/F NSR‐S620D ArF Immersion Used 5230 Nikon 4S014‐001‐2‐  Processor PCB Card MTRCNTI 4S014‐001‐2‐C NSR System Used 5231 Nikon 4S014‐077 Motor Control PCB Card MTR‐CTRL NSR System Working Spare 5232 Nikon 4S014‐143 Control Board PCB LC‐CTL4 NSR‐S204B Step‐and‐Repeat  5233 Nikon 4S015‐001‐1  Processor PCB Card NK862 4S015‐001‐1H NSR System  5234 Nikon 4S015‐001‐1ⓘ Processor PCB Card NK862 4S015‐001‐1I NSR System  5235 Nikon 4S015‐001‐  Processor PCB Card NK862 4S015‐001‐D NSR System  5236 Nikon 4S015‐001‐  Processor PCB Card NK862 4S015‐001‐F NSR System  5237 Nikon 4S015‐002 Processor PCB Card NK852 4S015‐026 NSR System  5238 Nikon 4S015‐002 Processor PCB Card NK852 NSR  5239 Nikon 4S015‐002 Processor PCB Card NK852 NSR System  5240 Nikon 4S015‐068 CPU Processor Card PCB PPD‐CPU 4S017‐912‐A NSR‐S202A Used 5241 Nikon 4S015‐070 Processor PCB Card AWLCPU2 4S017‐556  NSR System  5242 Nikon 4S015‐119 Control Rack OPD Chassis 4S001‐060 4S018‐716 NSR‐S307E Used 5243 Nikon 4S015‐164 Processor PCB Card NK‐C441‐1 4S015‐166‐2 NSR‐S307E  5244 Nikon 4S015‐172‐1 Processor Card PCB NK‐C441‐1‐50 NSR‐S205C  5245 Nikon 4S015‐172‐1 Processor Control Board PCB NK‐C441‐1‐50  5246 Nikon 4S015‐184‐1 Processor Control Card PCB NK‐C443‐1 NSR‐S205C System Used 5247 Nikon 4S015‐192 Processor PCB Card NK‐C44‐60S NSR‐S307E DUV Scanning System Used 5248 Nikon 4S015‐261 Processor Control PCB Card NK‐C44‐60R 4S015‐286 NSR‐S307E Used 5249 Nikon 4S015‐499‐FP SBC Single Board Computer PCB Card STG61 NSR‐S620D Used 5250 Nikon 4S017‐107 Analog/Digital Converter PCB Card WL101 NSR System  5251 Nikon 4S017‐237 Processor PCB Card EPDRV2 NSR System  5252 Nikon 4S017‐526 Processor PCB Card LC‐DRV2 NSR System  5253 Nikon 4S017‐702 Control PCB Card KENCTL NSR System Working Spare 5254 Nikon 4S017‐716 Backplane Board PCB PD‐MTH NSR System Working Spare 5255 Nikon 4S017‐983 VME Interface Control Card PCB VME‐I/F‐M NSR‐S204B  5256 Nikon 4S018‐163 Control Board PCB SHRINC‐CTRL NSR‐S204B Step‐and‐Repeat Used 5257 Nikon 4S018‐163 SHRINC‐CTRL Control Card PCB NSR‐S202A System  5258 Nikon 4S018‐351‐  Control Board PCB Card OPDCTRL2 NSR‐S202A Step‐and‐Repeat Used 5259 Nikon 4S018‐351‐  Control Board PCB Card OPDCTRL2 NSR‐S204B Step‐and‐Repeat Used The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 164 sales@semistarcorp.com
  • 15. 5260 Nikon 4S018‐354‐1 Control Board PCB AIRCTRL3 NSR‐S204B Step‐and‐Repeat Used 5261 Nikon 4S018‐378 Relay Control Board PCB MAC‐CTRL‐1 NSR‐S204B  5262 Nikon 4S018‐403‐G Control Board PCB PPD3S NSR‐S204B Step‐and‐Repeat  5263 Nikon 4S018‐708 Relay Driver Card PCB EPDRVX4 NSR‐S205C System  5264 NIKON 4S018727 / IM‐COM PCB REV A / NIKON 5265 NIKON 4S018751/LMDRVX4 P.C.B./NIKON/XILINX 5266 NIKON 4S018774‐4/SP I F P.C.B./NIKON/XILINX 5267 NIKON 4S018778/RBT‐I/F P.C.B./NIKON 5268 Nikon 4S018‐935 Processor PCB Card EPDRVX4‐MOPT NSR‐S307E DUV Scanning Used 5269 Nikon 4S019‐138 Processor Interface Card PCB IOP‐I/F2 NSR‐S307E  5270 Nikon 4S019‐645‐1 Processor Control PCB Card AFX6BD1‐MAIN NSR‐S620D ArF Used 5271 Nikon 4S020‐010‐E Analog/Digital Converter PCB Card AFDRV NSR System Used 5272 Nikon 4S020‐022 Processor PCB Card CNTRL‐1 NSR System  5273 Nikon 4S020‐023A Processor PCB Card CNTRL‐2 NSR System  5274 Nikon 4S020‐029  Processor PCB Card WGA‐I/F 4S020‐029F NSR System  5275 Nikon 4S020‐104‐1 Analog/Digital Converter PCB Card WLEXPCTL NSR System Used 5276 Nikon 4S022‐001 SBC Single Board Computer PCB Card IF3X8‐2 NSR‐620D  5277 Nikon 4S025‐128‐1 Control Board PCB X8RSSB_CTRL NSR‐S620D ArF Immersion Used 5278 Nikon 4S025‐282‐1A Interface Control Card PCB X8_WLIO NSR‐S620D  5279 Nikon 4S025‐300‐1 Interface Board PCB X8_WLDB NSR‐S620D Immersion  5280 Nikon 4S025‐340‐1 Interface Control Card PCB STGX8_HT NSR‐620D  5281 Nikon 4S025‐340‐1 Processor PCB Card STGX8_HT 4S025‐380‐1 NSR‐S620D  5282 Nikon 4S025‐340‐1 Processor PCB Card STGX8_HT 4S025‐391‐1 NSR‐S620D  5283 Nikon 4S025‐350 Processor PCB Card RemoteIO‐COM NSR‐S620D ArF Immersion Used 5284 Nikon 4S025‐369 Processor Relay Board PCB X8RSSB_LUT NSR‐S620D  5285 Nikon 4S025‐370 Processor Relay Board PCB X8RSSB_LUB NSR‐S620D  5286 Nikon 4S025‐371 Processor Relay Board PCB X8RSSB_LDT NSR‐S620D  5287 Nikon 4S025‐372 Processor Relay Board PCB X8RSSB_LDB NSR‐S620D  5288 Nikon 4S025‐373 Processor Relay Board PCB X8RSSB_RUT NSR‐S620D  5289 Nikon 4S025‐374 Processor Relay Board PCB X8RSSB_RUB NSR‐S620D  5290 Nikon 4S025‐375 Processor Relay Board PCB X8RSSB_RDT NSR‐S620D  5291 Nikon 4S025‐376 Processor Relay Board PCB X8RSSB_RDB NSR‐S620D  5292 Nikon 4S025‐378 Processor PCB Card STGX8_SL NSR‐S620D ArF Immersion Scanner Used 5293 Nikon 4S025‐407 Processor PCB Card STGX8_AD2 NSR‐S620D ArF Immersion Used 5294 Nikon 4S025‐420 Control PCB Card IUCTRL‐X8 NSR‐S620D ArF Immersion  5295 Nikon 4S025‐456 Processor Board PCB RS‐LACS 4S025‐487 NSR‐S620D  5296 Nikon 4S025‐492 Processor Control Board PCB BK_WARIO NSR‐S620D  The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 165 sales@semistarcorp.com
  • 16. 5297 Nikon 4S025‐563 Control PCB Card AFX8IF NSR‐S620D ArF Immersion Scanner Used 5298 Nikon 4S025‐566 Driver PCB Card IUDRV1‐X8A NSR‐S620D ArF Immersion  5299 Nikon 4S025‐567 Driver PCB Card IUDRV2‐X8A NSR‐S620D ArF Immersion  5300 Nikon 4S025‐568 Driver PCB Card IUDRV3‐X8A NSR‐S620D ArF Immersion  5301 Nikon 4S025‐572 Relay PCB Card IUPWR3‐X8A NSR‐S620D ArF Immersion Scanner Used 5302 Nikon 4S050‐645 LD Module NSR‐S306C DUV Scanning System  5303 Nikon 4S064‐133‐4 Power Driver Box 4S064‐340 NSR‐S204B System  5304 Nikon 4S064‐363 Beam Matching Unit Controller BMU‐IOP NSR‐System  5305 Nikon 4S064‐412 Controller IU‐IOP1 NSR‐S205C Step‐and‐Repeat BMU  5306 Nikon 4S064‐413‐1 Controller IU‐IOP2 NSR‐S205C Step‐and‐Repeat  5307 Nikon 4S064‐419‐4 Operator Interface OPD Panel NSR‐S205C  5308 Nikon 4S064‐419‐4 User Interface NSR‐S307E Missing Bottom Cover  5309 Nikon 4S064‐419‐4 Wafer Loader User Interface NSR‐S307E  5310 Nikon 4S064‐513 NT/Linux Server Computer FAU‐036‐02 NSR‐S205C  5311 Nikon 4S064‐513 NT/Linux Server Computer FAU‐036‐02 NSR‐S205C Copper Cu Working 5312 Nikon 4S064‐513 NT/Linux Server Computer FAU‐036‐2 NSR System  5313 Nikon 4S064‐630 NSR Series Controller IU‐IOP1 Working 5314 Nikon 4S064‐631 IU‐IOP2 Controller NSR Series  5315 Nikon 4S064‐631‐1 IU‐IOP2 Controller NSR Series  5316 Nikon 4S064‐820‐1 Wafer Loader User Interface NSR‐S307E  5317 Nikon 4S065‐531 Control Module LZR‐OPT‐2 NSR‐S620D ArF Immersion  5318 Nikon 4S066‐021 MSZ Amplifier SPA373DC NSR 4S013‐684‐1 System  5319 Nikon 4S082‐592AN Guide Assembly  5320 NIKON 4S086‐527‐1 / RELAY AMP TYPE ALL / NIKON 5321 NIKON 4S288‐271 / POSITION SENSOR UNIT NS2300‐D / NIKON 5322 Nikon 4S554‐351‐3 Processor Board PCB AFU‐S40‐S10‐4CT‐N01 NSR‐S620D  5323 NIKON 4S587‐575‐1 / S204 CONTROL UNIT N7CN2 RR‐C07 V 6.3 / NIKON 5324 Nikon 4S587‐625 AVIS2 ERG AMP 4S013‐374‐2 SEA241A NSR‐S204B Scanning System Used 5325 NIKON 4S587‐625AN/ERG POWER AMP UNIT/NIKON 5326 Nikon 4S587‐735 VCM Amplifier SPA156A NSR‐S205C System  5327 Nikon 4S900‐155 LD Module LDM790A NSR‐S620D ArF Immersion Scanner System Spare 5328 Nikon 4S900‐156 LD Module LDM000A NSR‐S620D ArF Immersion Scanner System Working 5329 Nikon 4S900‐157 LD Module LDM655A NSR‐S620D ArF Immersion Scanner System Spare 5330 Nikon 4S900‐174 LD Module LDM790B NSR‐S620D  5331 Nikon 4S900‐197 LD Module LDM790BT02 NSR‐S620D ArF Immersion Scanner System Used 5332 NIKON 4S992‐231 / INTERFEROMETER LASER POWER / NIKON 5333 Nikon AIS Aerial Image Sensor NSR‐S202A Step‐and‐Repeat System  The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 166 sales@semistarcorp.com
  • 17. 5334 Nikon AIS Aerial Image Sensor NSR‐S204B Step‐and‐Repeat System Non‐Copper Spare 5335 Nikon ARB Blinds Unit MU‐501C 4S554‐001 Faulhaber 2251R012S NSR‐1755G7A Used 5336 Nikon BFP Basic Flat Plate NSR‐S202A Step‐and‐Repeat System  5337 Nikon BFP Basic Flat Plate NSR‐S204B Step‐and‐Repeat System Non‐Copper Used 5338 Nikon BMU‐IOP 4S064‐363 Controller 5339 Nikon BMU‐IOP 4S064‐363 Controller 5340 Nikon BMU‐IOP 4S064‐363 Controller 5341 Nikon Ceramic Pin Chuck 12in 300mm NSR Step‐and‐Repeat System Used 5342 Nikon Chuck Load Table 4S018‐876 NSR‐S205C NSR‐S305B Step‐and‐Repeat System 5343 Nikon Chuck Load Table 4S018‐876 NSR‐S205C Step‐and‐Repeat Exposure System Used 5344 Nikon Chuck Load Table 4S018‐876 NSR‐S207D NSR‐S307E System  5345 Nikon Controller Box VMZ‐K3040 5346 Nikon ERGR‐CF Electro Pneumatic Regulator ERG AVIS J814‐0018 NSR‐S620D Working 5347 Nikon ERGR‐LB Electro Pneumatic Regulator ERG AVIS J814B0018 NSR‐S620D Working 5348 Nikon ERGR‐RB Electro Pneumatic Regulator ERG AVIS J814B0018 NSR‐S620D Working 5349 Nikon FIA Autofocus Bulb Housing NSR‐S204B Scanning System  5350 Nikon Field Revolver SHRINC NSR‐S204B Step‐and‐Repeat Scanning System Used 5351 Nikon Fly's Eye Box MAN‐D34R13B RH‐8D‐3006‐E100D0 NSR‐S307E DUV Scanning Used 5352 Nikon Fly's Eye Box MAN‐D34R23B HEDS‐5540 F14 RH‐8C‐3006‐E100D0 NSR‐S205C Used 5353 Nikon Fly's Eye Field Lens NSR‐S204B Step‐and‐Repeat Scanning System Used 5354 Nikon Harrier 160xi ULTRAFOCUS X‐Ray 60W CT Metrology Package,X‐TEK Nikon 5355 Nikon High‐Powered Lens Assembly NSR‐S307E As‐Is 5356 Nikon Illumination Uniformity Control IUC 1st Relay Optic Lens NSR‐S204B Used 5357 Nikon Internal Right‐Elevator 4S013‐467 NSR‐S307E DUV Scanning  5358 Nikon Internal Right‐Elevator 4S013‐467 NSR‐S307E DUV Scanning  5359 Nikon Internal Right‐Elevator 4S013‐467 NSR‐S307E DUV Scanning System Used 5360 Nikon KAB11010/3101‐0 Power Box OptiStation 7  5361 Nikon K‐ARX4B1 S‐Pure Revolver IU‐OSP NSR‐S307E DUV Scanning System  5362 Nikon KBB18530‐00 WL3 Slider NSR‐S204B Step‐and‐Repeat System 4S013‐212‐3 Used 5363 Nikon KBB18530‐00 WL3 Slider Rail NSR‐S204B Step‐and‐Repeat System Used The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 167 sales@semistarcorp.com
  • 18. 5364 Nikon L200 Microscope 5365 Nikon L2A‐AF Autofocus Unit for Eclipse L200A Microscope 5366 Nikon Large High‐Powered Lens Assembly NSR‐S307E  5367 Nikon Laser Lens Reflection Assembly NSR‐S307E  5368 Nikon Low Reflectance Sensor NSR‐S202A Step‐and‐Repeat System  5369 Nikon Low Reflectance Sensor NSR‐S204B Step‐and‐Repeat Scanning System Used 5370 Nikon M22 Chamber Refrigerator F3WA‐022E‐TFC NSR‐S205C TC Rack Working Spare 5371 Nikon Main Body Back Relay Lens Optic NSR‐S205C Exposure System  5372 Nikon Main Body Reticle Stage VRA Table NSR‐S204B  5373 Nikon Measuring Microscope MM‐40, 100V/120V/230V, 1.5A/1.3A/0.7A,50/60Hz, No. 10 5374 Nikon Measuring Microscope MM‐40, 100V/120V/230V, 1.5A/1.3A/0.7A,50/60Hz, No. 10 5375 Nikon Microscope Objective CFI LU Plan APO BD 150X MUC50150 5376 Nikon MM‐40 Measuring Microscope with Quadra‐chek 200 Evaluation Display 5377 Nikon MM‐40 Measuring Microscope with Quadra‐chek 2005 5378 Nikon NCPre2 Non‐Contact Prealign 2 Unit NSR System  5379 Nikon NCPre2 Non‐Contact Prealign 2 Unit NSR‐S202A Step‐and‐Repeat System Used 5380 Nikon NCPre2 Non‐Contact Prealign 2 Unit NSR‐S204B Step‐and‐Repeat System Used 5381 Nikon NSR 4G680‐389AN Scanner RPF 6.5% New 5382 Nikon NSR 4S018‐852‐3AN BD92A Detector Board VME Card PCB DPR‐LS22 New 5383 NIKON NSR RETICLE BLINDS KBB07060 STEPPER 5384 Nikon NVC6B‐1V5YAN Inspection Camera NSR‐1755G7A Step‐and‐Repeat  5385 NIKON NWL860 WAFER LOADER 5386 NIKON OPTIPHOT 150 NOMARSKI Microscope 6X6 Stage DIC OBJECTIVE 5387 NIKON OPTIPHOT 200 5388 NIKON Optiphot 200 / MICROSCOPE 100‐120VAC 3A 50/60HZ / NIKON 5389 Nikon Optiphot 200 Inspection Microscope 5390 Nikon Optiphot 200 Inspection Microscope 5391 NIKON OPTIPHOT 200 SYSTEM 5392 NIKON OPTIPHOT 200 with 8" LARGE VACUUM MOTORIZED X‐Y LUDLE STAGE 5393 Nikon Optiphot 66 Inspection Microscope1 5394 NIKON OPTIPHOT 88 / MICROSCOPE/ NIKON 5395 NIKON OPTIPHOT 88 / MICROSCOPE/ NIKON 5396 Nikon Optiphot 88 200mm Inspection Microscope w/ Nomarski Optics.7 5397 Nikon Optiphot Inspection Microscope w/ Nomarski Optics3 5398 NIKON OPTISTATION 3 W/ OPTISTATION CONTROL UNIT 5399 Nikon Photomask Reticle Handling Unit NSR‐S307E Main Body Untested As‐Is 5400 Nikon Power Unit Roll Around Power Supply OPTISTATION 3  The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 168 sales@semistarcorp.com
  • 19. 5401 Nikon Pre1 and Reticle Library UTOPI‐020MX NSR‐S204B Step‐and‐Repeat System Used 5402 Nikon Pre2 Detector Interface Board PCB VB‐001 NSR System Wafer Loader 5403 NIKON PRECISION SCANNER 6' S202A S203 WAFER HOLDER CHUCK 5404 NIKON PRECISION SCANNER STEPPER RETICLE ROBOT 4K177 SF140 NSR‐S204B 5405 Nikon Reflectance Plate NSR‐S202A Step‐and‐Repeat System  5406 Nikon Reflectance Plate NSR‐S204B Step‐and‐Repeat Scanning System  5407 Nikon Reflectance Plate NSR‐S306C DUV Scanning System  5408 Nikon Reflectance Plate NSR‐S307E 300mm DUV Scanning System  5409 Nikon Relay Optic Lens NSR‐1755G7A Step‐and‐Repeat G‐line System Working 5410 Nikon Reticle Alignment Unit 232842 4S602‐385 NSR‐S307E DUV Scanning System Used 5411 Nikon Reticle Exchange Assembly REX RH‐11C‐3001‐E100D0 NSR‐S202A  5412 Nikon Reticle Exchange Assembly REX RH‐11C‐3001‐E100D0 NSR‐S204B  5413 Nikon Reticle Exchange Assembly REX RH‐11C‐3001‐E100D0 NSR‐S204B Non‐Copper 5414 Nikon Reticle Fine Stage MSC NSR‐S202A Step‐and‐Repeat System  5415 Nikon Reticle Fine Stage MSC NSR‐S205C Step‐and‐Repeat Exposure System Used 5416 Nikon Reticle Loader Robot Elevator UTOPI‐020SE NSR‐S204B Step‐and‐Repeat Used 5417 Nikon Reticle Loader Unit NSR‐S205C Step‐and‐Repeat Exposure System  5418 Nikon Reticle Loader Unit NSR‐S205C Step‐and‐Repeat Exposure System Used 5419 NIKON Revolution 160KVa 20W X‐Ray, Flat Panel, CT imaging,X‐TEK Nikon 5420 Nikon RH‐11C‐3001‐E100AL Reticle Exchange Assembly REX NSR‐S306C System Used 5421 Nikon Ring Chuck 150mm NSR‐1755G7A Step‐and‐Repeat G‐Line System Working Spare 5422 Nikon Ring Chuck 200mm NSR‐S204B Step‐and‐Repeat Scanning System Working Spare 5423 Nikon RS Shock Absorber (RFC) NSR‐S204B Step‐and‐Repeat Scanning  5424 NIKON S202A SCANNER 200MM RETICLE LIBRARY 5425 Nikon S37 Temperature Control TC Rack N6B‐A NSR‐S205C Copper Cu Working Spare 5426 Nikon S58 Active Temperature Control Unit NSR‐S307E 300mm DUV  5427 Nikon Sensor Box 4K957‐706 NSR‐S620D ArF Immersion Scanner System  5428 NIKON SPA452A LINEAR MOTOR CONTROLLER 5429 Nikon SPA452A WYL Linear Motor Controller 4S587‐469‐3  5430 Nikon S‐Pure Relay Lens NSR‐S204B Step‐and‐Repeat Scanning System  5431 Nikon S‐Pure Revolver NAILL Optics Platform Assembly 4S013‐284 NSR‐S204B Used 5432 Nikon T‐703018 Optics Table Assembly NSR‐S307E  5433 Nikon Test Reticle R2005HJ Ver 3.09 New‐Other The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 169 sales@semistarcorp.com
  • 20. 5434 Nikon TTLFC2 Plate NSR‐S202A Step‐and‐Repeat Scanning System  5435 Nikon TTLFC2 Plate NSR‐S204B Step‐and‐Repeat Scanning System  5436 Nikon VB‐001 Wafer Loader Pre2 Detector Board PCB A NSR‐S204B  5437 Nikon VB‐001 Wafer Loader Pre2 Detector Board PCB B NSR‐S204B  5438 Nikon VB‐001 Wafer Loader Pre2 Detector Board PCB C NSR‐S204B  5439 Nikon Veritas VM‐500c Video Measuring System ‐ No Computer/Controller 5440 Nikon Verticle Relay Lens NSR‐S204B Step‐and‐Repeat Scanning System  5441 Nikon Voice Coil Motor VCM NSR‐S204B Step‐and‐Repeat Scanning System Used 5442 Nikon Wafer Center Table NSR‐S204B Step‐and‐Repeat Working Spare 5443 Nikon Wafer Center Table NSR‐S306C DUV Scanning System  5444 Nikon Wafer Center Table NSR‐S307E DUV 300mm System  5445 NIKON WAFER CHUCK ASSEMBLY / LEVEL UP 15P‐09‐1285M / NIKON 5446 Nikon Wafer Handling Assembly Optistation 3 Untested As‐Is 5447 Nikon wafer inspection station 5448 Nikon Wafer Loader Indexer Lift Assembly NSR‐S204B Step‐and‐Repeat Scanning Used 5449 Nikon Wafer Loader Indexer NSR‐S204B Step‐and‐Repeat Scanning  5450 Nikon Wafer Loader Pre2 Detector VB‐001 NSR‐S202A  5451 Nikon Wafer Loader Pre2 Detector VB‐001 Unit NSR‐S204B System  5452 Nikon Wafer Mask Reticle Indexer NSR‐S202A  5453 Nikon Wafer Stage Cable Guide NSR‐S205C Main Body  5454 Nikon WL3 Slider Rail NSR‐S204B Step‐and‐Repeat Scanning System As‐Is 5455 Nikon WL4 Slider Rail NSR‐S205C Step‐and‐Repeat Exposure System  5456 Nikon WL4 Slider Rail NSR‐S205C Step‐and‐Repeat Exposure System As‐Is 5457 Nikon WLV‐LDX Load X Rail MSM4ACA2A NSR‐S205C Step‐and‐Repeat  5458 Nikon WLV‐LDX Load X Rail NSR‐S205C Step‐and‐Repeat Exposure System  5459 Nikon WLV‐ULX Unload X Rail MSM4ACA2A NSR‐S205C Step‐and‐Repeat Exposure Used 5460 Nikon WLV‐ULX Unload X Rail MSM4ACA2AX NSR‐S205C Step‐and‐Repeat Exposure Used 5461 Nikon WS Shock Absorber (RFC) Nikon NSR‐S204B Scanning System  5462 Nikon WS Shock Absorber Left (RFC) NSR‐S205C  5463 Nikon WS Shock Absorber Left (RFC) NSR‐S205C Exposure System  5464 Nikon WS Shock Absorber Left (RFC) NSR‐S307E DUV 300mm System  5465 Nikon WS Shock Absorber Left (RFC) T02727A NSR‐S306C DUV Scanning System Used 5466 Nikon WS Shock Absorber Right (RFC) NSR‐S205C  5467 Nikon WS Shock Absorber Right (RFC) NSR‐S307E DUV 300mm  5468 Nikon WS Shock Absorber Right (RFC) T02727A NSR‐S306C DUV Scanning System Used 5469 Nikon WT Linear Scale BS90A NSR‐S204B Step‐and‐Repeat Scanning System Used 5470 Nikon WT Linear Scale BS91 NSR‐S306C DUV Scanning System  5471 Nikon WT Linear Scale NSR‐S204B Scanning System  5472 Nikon WT Linear Scale NSR‐S306C DUV Scanning System  5473 Nikon WT Linear Scale NSR‐S307E 300mm DUV Scanning System  The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 170 sales@semistarcorp.com
  • 21. 5474 Nikon WT Linear Scale Read Head BS91 NSR‐S306C DUV Scanning System  5475 Nikon WT Linear Scale Read Head BS91A X Axis NSR‐S204B System  5476 Nikon WT Linear Scale Read Head BS91A Y Axis NSR‐S204B System  5477 Nikon WT Linear Scale Read Head BS91A Z‐Axis NSR‐S204B System  5478 Nikon WT Linear Scale Read Head X‐Axis BS90A NSR‐S204B Step‐and‐Repeat Used 5479 Nikon WT Linear Scale Read Head X‐Axis BS90D NSR‐S204B Step‐and‐Repeat Used 5480 Nikon WT Linear Scale Read Head Y‐Axis BS90A NSR‐S204B Step‐and‐Repeat Used 5481 Nikon WT Linear Scale Read Head Y‐Axis BS90D NSR‐S204B Step‐and‐Repeat Used 5482 Nikon WT Linear Scale Read Head Z‐Axis BS90A NSR‐S204B Step‐and‐Repeat Used 5483 Nikon WT Linear Scale Read Head Z‐Axis BS90D NSR‐S204B Step‐and‐Repeat Used 5484 NIKON XN MICROSCOPE 120V 50/60HZ / NIKON 5485 Nikon XYV‐LDX Load X Rail MSMA4ACA2A NSR‐S307E DUV Scanning System Working Spare 5486 Nikon XYV‐LDX Load X Rail NSR‐S205C Step‐and‐Repeat Exposure System  5487 Nikon XYV‐ULX Unload X Rail MSM4ACA2A NSR‐S205C Step‐and‐Repeat Exposure Used 5488 NIKON Z‐X3‐B3606RU / MOTOR CONTROLLER 24V 10A / NIKON 5489 Nippon Avionics NAW‐1087 Ribbon Bonder 5490 Nippon Pillar PE‐40HA High Temperature Bellows Pump 16.8 GPM 180°C 5491 NKS JS2006FN518 / TEL ACT‐12 ROBOT NSK ROBOT/ NSK 5492 NOAH PRECISION PELTIER PSC‐8800 V3.37 / MODEL 8800 NOAH POU‐3300 CONTROLLER 5493 NOAH PRECISION PSC‐4400 V3.36 / MODEL 4400 NOAH CHILLER CONTROLLER / NOAH PRECISION 5494 Norcimbus TCS Supply Cabinet New Never Used 5495 Nordiko Orthogonal Magnet Array 5496 Nordiko Sputter System. Looking for a swift sale, please make offer, Motivated 5497 Nordiko Sputter System. Looking for a swift sale, please make offer, Motivated 5498 Nordiko Sputtering System Shutter Actuator W/ Reduction Gear TS 140‐033 Spinea 5499 Nordson 1601211 CW2 UV Cooling Wave Microwave Light Source, Novellus 423488 5500 NORDSON ASYMTEK CENTURY SYSTEM SELECTIVE FLUX MODEL C718FLUX 5501 NORDSON ASYMTEK MILLENNIUM SERIES M‐620 DISPENSING SYSTEM WITH DP‐3000 PUMP 5502 Nordson ASYMTEK S‐820B, Batch Dispensing System (2011)  ‐ NEW UNUSED 5503 Nordson ASYMTEK Select Coat I.R Module in‐line Thermal Cure TCM Convection Oven 5504 Nordson Dage 4000 Plus‐Cart‐P100G The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 171 sales@semistarcorp.com
  • 22. 5505 Nordson Dage Precision 4000HS Wire Bondtester w/ Leica GZ6 for Bond Testing 5506 Northern Plasma 2012 Northern Plasma NV‐1 Plasma Cutter Fabrication 5507 NORTON SAINT GOBAIN 3497708R CVD Silicon carbide Coated Heating Igniter 5508 Norton Vacuum Equipment Division Thermal Evaporator Varian NRC Model 3117 5509 NOS Komatsu AIH‐65QS‐LM3 Temp Controller 5510 NOVA 153‐10000‐01 / NOVASCAN 200MM TN‐087 HANDLER LOCKING JIG / NOVA 5511 Novascan PSD Pro Series Digital Uv Ozone System 5512 Novascan PSD‐UV10 10" x 10" Benchtop UV/Digital Ozone Cleaning System 5513 Novastar EBSO SPA400 Lead Free selective solder machine 5514 NOVASTAR Reflow Furnace 5515 Novellus  Electro Optical NTM500‐C A670‐100‐5010 (Novellus P/N: 27‐163243‐00) System 5516 Novellus / Varian 3000 Series Master Controller 19‐113956‐00 ++ 5517 Novellus 002‐6640‐24 Robot Arm, 424261 5518 NOVELLUS 01628727 / PIGTAIL #94‐06,GAS PANEL,DISS 728,ETG 04496,PT‐HPM‐728‐FC / NOVELLUS 5519 NOVELLUS 02‐00012‐00 / PNEUMATICS PANEL ASSEMBLY FOR C1 / NOVELLUS SYSTEMS INC 5520 NOVELLUS 02‐00064‐00A / ASSY, LWR, SPINDLE, FERROFLUIDIC, WITHOUT GENEVA / NOVELLUS SYS 5521 NOVELLUS 02‐00072‐00 / ASSY,NITRIDE TERM,PNL W/ EXCHANG! / NOVELLUS SYSTEMS INC 5522 NOVELLUS 02‐00215‐00 / ASSY,GENEVA DRIVE (200MM) WITH EXCHANGE / NOVELLUS SYSTEMS INC 5523 NOVELLUS 02‐00269‐00 / ASSY,ONE ARM ROBOT ARM SET ONLY WITH EXCHANGE / NOVELLUS  SYSTEMS 5524 NOVELLUS 02‐00374‐00 / PCA,TERMINAL PANEL C1 NG (ALL) W/ EXCHANG! / NOVELLUS SYSTEMS  INC 5525 NOVELLUS 02‐034310‐00 / ASSY,MOD CONTROLLER,P100/16M / NOVELLUS SYSTEMS INC 5526 Novellus 02‐105005‐00 MOD_CNT, P166, 24 V, W/8_S23 5527 Novellus 02‐105005‐00 MOD_CNT, P166, 24 V, W/8_S23 5528 Novellus 02‐105005‐00 MOD_CNT, P166, 24 V, W/8_S23 5529 Novellus 02‐105157‐00 Assy, Sys. Cont., P166/64, 24V 5530 NOVELLUS 02‐162351‐00 / ASSY,BELLJAR,HI PWR,C2SPD‐S / NOVELLUS 5531 NOVELLUS 02‐162452‐00 ASSY, PED, STA 2 300MM VECTOR 5532 NOVELLUS 02‐168925‐00N / ASSY,PEM,300MM,SABRE XT(SABRE NON 3D) / LAM / NOVELLUS  SYSTEMS 5533 NOVELLUS 02‐252395‐00/ASSY,HDSIOC0,VECTOR/NOVELLUS SYSTEMS INC 5534 NOVELLUS 02‐258799‐00 / ASSY,HEATER BLOCK,2&3KW,6FORKS,SEQUEL /NOVELLUS 5535 Novellus 02‐259457‐00 C3 Vector Spindle Assy Assembly 5536 NOVELLUS 02‐259925‐00 ASSY, BELL JAR, HI PWR, C3 SPD The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 172 sales@semistarcorp.com
  • 23. 5537 NOVELLUS 02‐266793‐00 /16‐156551‐00 PED ASSY,ESC,N2 COOL,IVA DHCM TA / LAM RESEARCH 5538 NOVELLUS 02‐272808‐00 ASSY,TRIPOD,GRND,RF SOURCE,ROW,VITON 2130 5539 NOVELLUS 02‐288189‐00 ASSY, 300MM, LIFT PIN ACTR 5540 NOVELLUS 02‐293421‐01 / C3 RF MATCH 300MM REV‐A 0806 103006 / NOVELLUS 5541 NOVELLUS 02‐321646‐00 / ASM,HDSIOC 0 VECTOR V5.0 / LAM RESEARCH CORPORATION 5542 NOVELLUS 02‐324753‐00 / LEVEL 3 ALTUS/VECTOR SPINDLE /NOVELLUS SYSTEMS INC 5543 NOVELLUS 02‐347924‐00 / ASSY,ELECTRICAL,ILDS DIRECT DIVERT(C3 VECTOR) / NOVELLUS 5544 NOVELLUS 02‐371966‐00 ASSY,MANIF,COOLED,RMT CLN,C3VCTR 5545 NOVELLUS 02‐377211‐00 / SRD FOUR STAGE RF ASSY WITH BNC / NOVELLUS 200159110 200182041 5546 NOVELLUS 02‐381649‐02 / ASSY,PEM 2,300MM,POR,SR,SBR‐XT(SABRE NON 3D) / LAM / NOVELLUS 5547 NOVELLUS 02‐418545‐00 / ASSY,PLATING CELL,NEXT(SABRE NON 3D) / NOVELLUS SYSTEMS/ LAM 5548 NOVELLUS 02‐428979‐01 / ASSY,IRIS CELL,CELL1(SABRE NON 3D) / NOVELLUS SYSTEMS / LAM 5549 NOVELLUS 02‐437198‐00 / ASSY,MIXING BOWL,E38,BD,VXT(VECTOR EXTREME) / NOVELLUS / LAM 5550 Novellus 02‐445950‐00 Controller MC4, Ethernet, 200M 5551 NOVELLUS 02‐463840‐00 / ASSY,PUMP DOSE,3 CHEM,BATH 2(SABRE 3D) / NOVELLUS SYSTEMS /  LAM 5552 NOVELLUS 0‐2‐ANNEAL,76‐135065‐00 / SABRE XT ANNEAL FRONT END / NOVELLUS 5553 NOVELLUS 04‐306477‐00 / KIT,SPINDLE,XPRS,YASKAWA YSC‐02D04B02S / NOVELLUS SYSTEMS INC 5554 NOVELLUS 04‐308076‐00 / KIT, ATH SHIELD, CELL 3, 300MM(SABRE NON 3D) / NOVELLUS / LAM 5555 Novellus 04‐311910‐00 PM KIT, CUP REBUILD, APC 1MM, 300, SBR,‐XT 5556 NOVELLUS 04‐710723‐05 REV M RF MAGNETRON 17‐116776‐00 RADIO FREQUENCY SPUTTERING 5557 NOVELLUS 05‐119299‐01 / MONITOR, MAINT, LCD UPGRADE KIT/ NOVELLUS 5558 NOVELLUS 1006143 /VERITY INSTURMENTS ENDPOINT CONTROLLER MODEL /NOVELLUS 5559 NOVELLUS 15‐00003‐02 / C1 PLATE,TOP,PROCESS 150MM / NOVELLUS SYSTEMS INC 5560 NOVELLUS 15‐029544‐00 / CHMBR,SPD‐S / NOVELLUS SYSTEMS INC 5561 NOVELLUS 15‐046348‐01 / CVD, DOME, LOW POWER, 300MM / NOVELLUS SYSTEMS INC 5562 NOVELLUS 15‐120948‐00 CYLINDER,SOURCE,DFE The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 173 sales@semistarcorp.com
  • 24. 5563 NOVELLUS 15‐122731‐01 / NOVELLUS HDP RF DISTRIBUTION PLATE 300MM / NOVELLUS 5564 NOVELLUS 15‐129181‐00 / WINDOW HATCH COVER 300HDP / NOVELLUS SYSTEMS INC 5565 NOVELLUS 15‐183816‐00 / SHWRHD,CONST DENSITY,IALD(C3 FFW) / NOVELLUS SYSTEMS INC / LAM 5566 NOVELLUS 15‐256677‐00 / END EFFECTOR 300MM CERAMIC / NOVELLUS 5567 NOVELLUS 15‐256677‐00 / END EFFECTOR 300MM CERAMIC / NOVELLUS 5568 NOVELLUS 15‐268083‐00 INOVA, CERAMIC DOME, OEM, USED, EXCELENT COND. 5569 NOVELLUS 15‐313661‐00 / WTS CERAMIC ROBOT BLADE REV‐B / NOVELLUS SYSTEMS INC 5570 Novellus 16‐144558‐00 SHIELD, BARREL, ARCS, PVD 300 5571 NOVELLUS 16898‐01 / LOCATOR, WAFER 8 INCH / NOVELLUS SYSTEMS INC 5572 NOVELLUS 169590‐001 / 16 CHANNEL BACKPLANESIGMAL CONDITIONER / NOVELLUS 5573 NOVELLUS 17002023 / RIPPLE RACK 3XXX with PCBs / NOVELLUS 5574 NOVELLUS 19‐00154‐00 / HEATER BLK,2KW, 2KW 8 200MM, NON SLOTTED / NOVELLUS 5575 NOVELLUS 19‐00155‐01 /HEATER,BB 150MM BLOCK/ NOVELLUS SYSTEMS INC 5576 NOVELLUS 19‐024227‐01 HEATER, 200mm,NG HOLLO PINS NG 5577 NOVELLUS 19‐032015‐01 / HEATER BLK,150MM 6"OD PINNED / NOVELLUS SYSTEMS INC 5578 NOVELLUS 19‐045209‐00 / ASSY,MANOMETER,100M TORR / NOVELLUS 5579 NOVELLUS 27‐032653‐00 / TRAZAR MATCH 5KW W/ARC SENSOR AMU2‐1 / NOVELLUS 5580 NOVELLUS 27‐034223‐00 /TRAZAR 10E‐1MATCH 5KW 150MMSPD / NOVELLUS 5581 NOVELLUS 27‐121645‐00 / MATCH,TRAZAR,SEQ,IMP COOLING / NOVELLUS SYSTEMS INC 5582 NOVELLUS 27‐160698‐00 / ROBOT,ARM,ATR7,300,RABBIT MAP(SABRE NON 3D) 002‐6640‐39/  NOVELLUS 5583 NOVELLUS 27‐255798‐00N, 3152603‐010 / E‐WAVE POWER SUPPLY / NOVELLUS 5584 NOVELLUS 27‐274809‐00, 27‐105447‐00/GHW‐85A,GEN,HF,ENI,8KW,GHW80A‐13DF2L0‐001 /  NOVELLUS 5585 NOVELLUS 27‐290521‐00 / GENERATOR, PLASMA, 3.0KW, LITMAS, 1.9‐3.2MHZ / NOVELLUS 5586 NOVELLUS 27‐370236‐00 / PWR SPLY,DC PLATING,150V,5A,BI‐DIRECTION(SABRE NON 3D) /  NOVELLUS 5587 NOVELLUS 2850‐739458 / ROBOT IPEC 676 GENMARK GB4 / NOVELLUS 5588 NOVELLUS 30‐000956‐00 CHAMBER , TOP PC 2300 MTL/PL REPAIR NOVELLUS 5589 Novellus 61‐30647478‐00 Spindle Yaskawa YSC‐02D04B02 Used 5590 Novellus 63‐303438‐00 Robot Assy, DU EE, NO EE, 200, Brooks 002‐7090‐10, 424263 The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 174 sales@semistarcorp.com
  • 25. 5591 NOVELLUS 71‐252989‐00 / WAFER CARBON 300 CALIBRATION NO NOTCH / NOVELLUS 5592 NOVELLUS 73016‐09 / FILTER UV‐23,313NM+4NM / NOVELLUS SYSTEMS INC 5593 NOVELLUS BROOKS ROBOT ARM ASSEMBLY 002‐6640‐00 5594 NOVELLUS C2 CHAMBER AND TOP PLATE 150MM 820‐6105609B 5595 Novellus Concept 2 Snapper Transport Module Brooks VTR‐4 Wafer Transfer Robot 5596 NOVELLUS CONCEPT GAMMA CERAMIC DOME SHIELD 15‐377294 5597 Novellus Electro Optical NTM500‐C, A670‐100‐5010 (Novellus P/N: 27‐163243‐00) System 5598 NOVELLUS GASONICS 05‐0234 / FLANGE, PLATEN FEEDTHRU, ALUMINUM OBSOLETE USE 16207‐01 / 5599 NOVELLUS GASONICS I/O PCB 16945‐02 5600 NOVELLUS HDSIOC 1 VETOR 02‐252396‐00 5601 Novellus M0‐017440‐01 Pump Herc 50 Assy 01‐4105‐1 Baldor Reliance VL3509, 424223 5602 NOVELLUS NOVELLUS 02‐283805‐00 / ESC REV‐C 300MM / NOVELLUS 5603 Novellus PN: 16‐131278‐00 200mm Heater Block, Low Contact Area 8" 5604 Novellus Systems 02‐304871‐00 RF Match Assembly Aluminum SST‐PEEK 1.12 New 5605 Novellus Systems 15‐050938‐00 200mm ESC Electrostatic Chuck Concept 2 Working 5606 Novellus Systems 16‐132590‐00N SHWRHD Pinned 300mm WLDMNT Vector  5607 NOVELLUS SYSTEMS BROOKS MAG7 MAG8 ROBOT BLADE END EFFECTOR 15‐25667‐00A 5608 NOVELLUS SYSTEMS INC PROX‐H472LF‐062L1‐G1B / CPU,MOTHER BOARD ‐ INTEL 5609 Novellus Systems R02‐281532‐00 RF Match Source Aluminum Coil Refurbished 5610 Novellus Systems R02‐351245‐00 Clamshell Drive DP APC Ready Refurbished 5611 NOVELLUS VECTOR HDSIOC 2 02‐252397‐00 5612 Novellus, Heater Block 200mm, 19‐024227‐00 5613 NOVELLUS‐VARIAN‐EATON 00‐684878‐00 HEATER ASSY., 5" 5614 NPP new power plasma NKG‐15km 360‐440 Khz 15kw plasma generator supply 5615 NPP remote plasma source 15 slpm comparable mks astex astron hf‐s fluorine 5616 NRC 72" Multi Ports Stainless Steel Vacuum Cylindrical Chamber NRC Diffusion Pump 5617 NRC/VARIAN 3117 THERMAL EVAPORATOR 5618 NRC/VARIAN 3117 THERMAL EVAPORATOR 5619 NSK 51161‐802‐001 Interface Block Robot IRAM TEL Lithius No End Effector Used The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 175 sales@semistarcorp.com
  • 26. 5620 NSK 51161‐802‐001 Interface Block Robot IRAM TEL Lithius with End Effector Used 5621 NSK Megatorque Motor 8in" ‐ Lam Research 676‐060493‐001 5622 NSW Automation I‐DR S320A G Desktop Dispensing System 5623 NSX‐3DI‐AXI/SERIES ULTRAPORT5 AUTOMATED WAFER HANDLING STATION/AUGUST TECHNOLOGY 5624 Nu COND Tenney JUNIOR TJR Environmental Chamber ‐75°C +200°C TESTED GUARANTEED 5625 Nutek 2006 Nutek Laser Marker NTM 4610‐X 5626 Nutek 2007 Nutek PCB Cleaning Conveyor NTM TCL‐657‐1 5627 NUTEK LYNX NTM91L 90 Degree turn conveyor L or R turn (configurable) 5628 NUTEK MFC0100UM Front of Line Magazine unloader 2011 5629 Nutek NTE0710LL 2009 right to left single magazine unloader 5630 NUTEK NTE0710LL Single Magazine Unloader ‐ Front of line 2012 5631 Nutek NTM110LXL Magazine Unloader (160501) 5632 Nutek NTM110LXL Magazine Unloader (160502) 5633 NUTEK NTM110ULL Magazine loader ‐ end of line 5 magazine ‐ L size 2007 5634 Nutek NTM110ULXL Magazine Loader (140803) 5635 NUTEK NTM2500‐L‐1000‐1 Reject conveyor AOI SPI 2008 NG board 5636 Nutek NTM410XL‐1000‐2 Conveyor Yr. 2014 5637 NUTEK NTM5210‐M Telescopic Aisle / Shuttle gate conveyor 2011 5638 NUTEK NTM640SL 2010 Fifo, Lifo, Pass Through, MULTI Functional Vertical Buffer 5639 NVLS, 06‐54749‐01 5640 NXEDGE 030‐001059 / CAR, INNER DEPO SHIELD RECOAT / NXEDGE 5641 NXS00612A2H1SSSA1A2000000 / IP21 NEMA1 INVERTER IN 3‐208‐240V 50/60HZ OU / VACON 5642 OAI 2000SM EDGE BEAD REMOVER 5643 OAI 317 UV Exposure Meter w/Sensors (ASML/SVG/PE‐Perkin Elmer) 365/400 nm 5644 OAI Hybralign 400 Large Area Mask Aligner and Exposure System 5645 OAI Model 205S 5646 OAI MODEL 358, STEPPER EXPOSURE ANALYZER 5647 OAI MVS‐8100 Mask Aligner CogNex OMI 2.3.1 ‐ 365/400nm UV 5648 OAI Optical Associates NUV Exposure System, Diamond Wafer Handling Robot 5649 OAI TriSol Solar Simulator 1‐1.6 kW CIGS Constant Intensity Option 0130‐0120‐03 5650 Obducat NIL‐4 Nano‐Imprinter/Imprint Lithography System 5651 OEM Group N44SE3L8WP‐210‐00A00 100mm Spin Rinse Dryer SRD 470 Semitool Tested 5652 OERLIKON 400035V0011 / MAG DRIVE DIGITAL CONTROLLER TURBO (186038401)/ OERLIKON 5653 Oerlikon Frequency converter MAG.DRIVE digital ‐ Profibus Part no. 400035V0013 5654 Oerlikon Leybold MAG W 600 iP 410600V0525 ISO‐K Turbo Pump 5655 Oerlikon Leybold MAG W 600 iP 410600V0525 ISO‐K Turbo Pump w/o Controller The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 176 sales@semistarcorp.com
  • 27. 5656 OGP Smartscope Flash Video Measuring Machine 5657 OGP Smartscope Flash Video Measuring Machine 5658 Ohashi CAJR‐02SDPX Tabletop Chip Alignment System for LCD or FPC 5659 Ohashi CBMR‐02SDPX Flat Panel Connector Bonder 5660 OKI / Metcal APR‐5000 Array Package Rework System 5661 OKi OK International/Metcal APR‐5000 PCB Array Package Rework System w/BVX‐200 5662 OL33001603106 / HIGH VOLTAGE POWER SUPPLY 60 KV OI330 / HITEK POWER 5663 OL40010334 / HI VOLT SERIES 400 CONTROLLER / HITEK POWER 5664 OLYMPUS AL100‐LBG Programmable Wafer Loader 5665 OLYMPUS AMERICA INC MX80‐F / MICROSCOPE W/ 6 OPTICS .5 ‐2.5‐10‐20‐50‐150  5666 OLYMPUS AMERICA INC U‐D5BDREM / MICROSCOPE OBJECTIVE TURRET W/EXCH / OLYMPUS  AMERICA INC 5667 Olympus BH2‐UMA Microscope *, 90 day warranty* 5668 Olympus BHM Reflected Light Microscope 5669 Olympus BX‐60F Inspection Microscope 5670 OLYMPUS INSPECTION MICROSCOPE MHL110 5671 Olympus MX50 5672 Olympus MX50 Micro‐Metric Microline 100 Max Model: MX50A‐F Inspection Microscope 5673 Olympus TH3 with Olympus AL100‐LM6 wafer loader. working Video to provide 5674 Omax 2018 Omax Maxiem 1530, 5.2' x 10' Table Size, 30 HP, 50k PSI CNC Waterjet 5675 OMAX 2X‐270X Simul‐focal Zoom Boom Microscope+150W Dual Light+1080p HDMI Camera 5676 OMAX 40X‐400X 10MP Digital Semiconductor Inspection Infinity PLAN Microscope 5677 OMAX 40X‐400X 14MP Digital Semiconductor Inspection Infinity PLAN Microscope 5678 OMAX 40X‐400X 18MP USB3.0 Large Stage Infinity Industrial Inspection Microscope 5679 OMAX 40X‐400X 5MP Touchpad Large Stage Infinity Industrial Inspection Microscope 5680 OMAX 40X‐400X 5MP Touchpad Trinocular Inverted Infinity Metallurgical Microscope 5681 OMAX 40X‐400X 5MP USB3 Large Stage Infinity Industrial Inspection Microscope 5682 OMAX 40X‐400X Industrial Inspection Infinity Microscope14MP Camera Large Stage 5683 OMAX 40X‐400X Infinity Industrial Inspection Microscope USB3 10MP Camera Stage 5684 OMAX 40X‐400X PCB&Wafer Semiconductor Inspection Infinity Microscope Large Stage The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 177 sales@semistarcorp.com
  • 28. 5685 OMAX 50X‐787.5X 10MP Digital Ore Polarizing Trinocular Microscope + Dual Lights 5686 OMAX 50X‐787.5X 10MP USB3 Ore Petrographic Polarizing Microscope + Bertrand Lens 5687 OMAX 50X‐787.5X 14MP Digital Ore Polarizing Trinocular Microscope+Dual Lights 5688 OMAX 50X‐787.5X 14MP USB3.0 Ore Petrographic Polarizing Microscope+Bertrand Lens 5689 OMAX 50X‐787.5X 18MP USB3.0 Ore Petrographic Polarizing Microscope+Bertrand Lens 5690 OMAX 50X‐787.5X 5MP Digital Ore Polarizing Trinocular Microscope w Dual Lights 5691 OMAX 50X‐787.5X 5MP Digital Ore Polarizing Trinocular Microscope w Dual Lights 5692 OMAX 50X‐787.5X 5MP Touchpad Ore Trinocular Polarizing Microscope+Bertrand Lens 5693 OMAX 50X‐787.5X 5MP USB3.0 Ore Petrographic Polarizing Microscope+Bertrand Lens 5694 OMAX 50X‐787.5X 9MP Digital Ore Polarizing Trinocular Microscope w Dual Lights 5695 OMAX 50X‐787.5X Trinocular Petrographic Polarizing Microscope+1080p HDMI Cametra 5696 Omax Maxiem  2018 Omax Maxiem 2040, 7' x 16.1' Table Size, 40 HP, 50k PSI, CNC Waterjet 5697 OmegaMeter 700 SMD Ionic Contamination System 5698 OMRON VT‐RNS2 Inline AOI Solder Inspection Machine 5699 OMRON VT‐RNS‐PT Solder Inspection Machine – FREE SHIPPING! 5700 ondax 785nm raman laser 50mhz linewidth 80mw power ASE blocker 5701 Onoda Ozinator OR‐800Z OzoneRex Ozone Generator 95401‐2T OR‐800Z B3 5702 Onoda Ozinator OR‐800Z OzoneRex Ozone Generator 95505‐21 5703 Ontrack 10‐8084‐002, Queu Water Assembly, Cassett, Loading, Rinse 5704 Open Box! MKS R5027A 27MHz RF Generator ‐ R5027A‐A01 5705 OPTEC DAI‐ICHI DENKO EQ‐131AD AC85~265V 5706 OPTI PROBE OP5240 SYSTEM / BPP BPE DUV AE SE 1999 VINTAGE THERMAWAVE  5707 OPTICAL GAGING PRODUCTS AV‐200 VIDEO MEASURING SYSTEM 5708 OPTICAL GAGING PRODUCTS OGP SMARTSCOPE 250 ZIP (#2780) 5709 Optima MKS ENI OPT‐200 20kW DC Plasma Generator ‐ New OPT‐200A 5710 OptiTemp OTC‐7.5AH‐C4‐436‐SC1‐HT1 Chiller 5711 Orbotech Trion‐2340 AOI Systems 3D Edge detection 5712 ORIEL DOUBLE SIDED MASK ALIGNER 5713 ORION ETN23A‐SC‐B / HEAT EXCHANGER PELTHERMO / ORION 5714 ORION STAR / PH METER / THERMO SCIENTIFIC 5715 Orthodyne 20 Ultrasonic Heavy Wire Bonder w/ Dereeler (refurbished) 5716 Orthodyne 20 Ultrasonic Heavy Wire Bonder w/ Dereeler (refurbished) 5717 Orthodyne 20 wire‐bonder The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 178 sales@semistarcorp.com
  • 29. 5718 Orthodyne 20 wire‐bonder 5719 Orthodyne 20R Ultrasonic Ribbon Wire Bonder (refurbished) 5720 Orthodyne 3700 Plus ‐ Small Wire Wedge Bonder ‐ Excellent Condition 5721 Orthodyne Electronics Ultrasonic Wire Bonder 20B 5722 ORTHOPLAN / MICROSCOPE / LEITZ WETZLAR 5723 Osaka TD3200 Vacuum LTD. Turbo Pump Controller Power Supply ‐ 50/60Hz 5724 Osaka TG1100F TG1100FBWB Turbomolecular Turbo Vacuum Pump ISO 200 Water Cooled 5725 Osaka TG1813BW Compound Molecular Pump 5726 Osaka TG2003M Turbo Vacuum Pump ISO250 ‐ *MINT* OEM REMAN 5727 Osaka Vacuum TC440 Power Supply ‐ AC200/220V ‐ A106151‐48 5728 Osaka Vacuum TD701/1101 Turbo Pump Controller Power Supply ‐ 200/220VAC 50/60Hz 5729 Osaka Vacuum TG 1300 MCAB Mag Suspended Turbo Pump 5730 OSAKA VACUUM TG1813BW COMPOUND MOLECULAR PUMP 5731 OSAKA VACUUM TG1813BW‐90 COMPOUND MOLECULAR PUMP 5732 Osaka Vacuum TG420MCAB Magnetic Suspended Compound Turbomolecular Pump, 452690 5733 OSI POLYCHECK W‐LAF 5734 Osmium sputtering target Os 99.9% 2" diameter x 0.25" thick: ACI ALLOYS 5735 Osram/Sylvania i‐Line HBO 1500W/CI HBO1500WCI Mercury Short Arc Lamp 5736 Ovation  3150861‐010 / Ovation 35162 5737 Ovation 35162 / 0190‐29389 5738 Overland Storage 10600127‐001 SnapSAN Controller ACC SSAN Dual Raid Card, 5739 Owens Design 3464‐003 Sapphire Tester Autoprober With Controller Untested 5740 Oxford | 6in Electrode Assembly 5741 OXFORD 80 REACTIVE ION ETCHER (R.I.E.) 5742 Oxford Instruments 100+ICP 180 plasmaLab 100 Inductively Coupled Plasma 5743 Oxford Instruments 133 ICP with 380 Source ‐ Ion Couple Plasma Etching System 5744 Oxford Instruments 7426 EDS X‐ray Detector with Link ISIS computer (20607‐001) 5745 oxford instruments 7516 cryogen free EDS EDX for SEM and FIB say goodbye to LN2! 5746 Oxford Instruments 90 Plus RIE Etching System 5747 Oxford Instruments 90 Plus RIE Reactive Etcher with Loadlock9 5748 OXFORD INSTRUMENTS M600 HELIUM COMPRESSOR 1025411 (1) 5749 Oxford Instruments Plasmalab 80 Plus Reactive Ion Etch System 5750 Oxford instruments Ionfab 300 Plus Ion Beam etching & deposition system 5751 Oxford Microanalysis 1184060 Opal Link Assembly C.7311  5752 OXFORD PLASMALAB SYSTEM 400 SPUTTER COATER 5753 Ozone Sparge Unit The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 179 sales@semistarcorp.com
  • 30. 5754 P.system Opto System OBM‐90TP Wafer Breaking System 5755 Pacific 500 Ton X 16' 1980 Pacific Mo K500‐16 Hydraulic Press Brake. Fabrication 5756 PACIFIC SCIENTIFIC 17410‐05 / BRUSHLESS SERVO MOTOR,MODEL BLF2924‐10‐0‐S‐017 / PACIFIC  SCIENTIFIC 5757 PACIFIC SCIENTIFIC 17410‐05 / BRUSHLESS SERVO MOTOR,MODEL BLF2924‐10‐0‐S‐017) / PACIFIC  SCIENTIFIC 5758 Pacific Scientific Semitool Brushless Servo Motor Servomotor 17410‐17 BLF2924‐10 5759 Pacific Western P5 wafer prober, working! 5760 PacTech SB2‐JET‐LF Laser Assisted Solder Jetting Machine 5761 PALOMAR 60 Green Tape Cutter / Low Temp Co‐Fired Ceramic Processes 5762 Palomar GPD MiniMax II High Precision Dispenser 22200018 Complete 12/2009, 6051 5763 PALOMAR MODEL 60 GREEN TAPE CUTTER FOR LTCC CERAMICS MANUFACTURING 5764 Palomar SST 1200 / Scientific Sealing Technology Model 1200 Table Top Furnace 5765 Panasonic CM402 / CM602 gang exchange feeder trolley carts 5766 Panasonic LSC System Controller Unit BP225‐MJ PCB Rack  5767 Panasonic SP60P‐M Screen Printer KXF‐1D3C 5768 Panasonic ST40S‐20 CM602 CM402 shuttle tray matrix tray feeder ‐ 20 trays 5769 Panasonic/Panasert ‐ HDP‐G1 XL 5770 Panasonic/Panasert ‐ MPAV2B 5771 Papenmeier Lodige High Intensity Mixer 5772 Paragon Rev. A MKS AX7700MTS‐01‐ PARTS ONLY 5773 Paramount 1k And 3k RF generator 5774 PARKER CPX 0500M / COMPAX CONTROLLER 951‐100200 / PARKER 5775 Parmi SPI HS60 Pattern Recognition Intelligence 3D Solder Paste Inspection HS60L 5776 Particle Measuring Systems PMS CLS‐910, 920, 930 5777 PCB Conveyor 24" Model 3011 W/ Tilt Simplimatic Automation Cimtrak 5778 PCB Unlimited ULD‐L‐NC Unloader 5779 PCBA / ANALYSER 160 / PHOENIX X‐RAY LINE / PHOENIX 5780 PCT Automation Systems Bare Board Loader BB1110 5781 PCT Megasonic Hyperclean Generator/Controller 6000 C/C 5782 PCT Tilt conveyor for any Wave Solder WV3014 5783 PD Burn‐In System TESTECH 9400 5784 PDR IR‐E3VI Evolution Focused Infrared SMT/BGA PCB Rework Station 5785 Peak Systems ALP 5000 Rapid Thermal Processor 5786 Pearl Kogyo CF‐500‐400K(CE) RF Power Supply Hitachi M‐712E  5787 Pearl Kogyo CF‐500‐400K(CE) RF Power Supply Hitachi M‐712E  5788 PEARL KOGYO CO LTD M‐30AW2VD‐27 / RF MATCHER 5789 Pearl Kogyo LP‐2000‐800KBX RF Power Generator Hitachi M‐712E  5790 Pearl Kogyo RP‐500‐13(T04) RF Power Generator 13.56MHz 500W 3839‐000148‐13/TEL The Items are in USA. They are subject to prior sale without notice. Appreciate your time! ID-e-6-1-2020 180 sales@semistarcorp.com