SlideShare a Scribd company logo
1 of 18
Download to read offline
Item OEM Model Process
1 Abbe Jar Roll Mill Storage Rack Support Equipment (Milling)
2 Abraisive Industries PF 500-3 Powder Blender / Feeder
3 Accu-Seal HDMP3, 675 Vacuum Sealers
4 ADE 9800 UltraScan Wafer Characterization
5 ADE AFS-3220 Wafer Characterization
6 ADE Episcan 1000 FT-IR Spectrometer
7 Advanced Energy 2011-000-D MDX Magnetron Drive
8 Advanced Laser Separation International DCM802 Laser Scribe
9 Advanced Metrology Systems IR3100 FT-IR
10 Advantek ATM-8600 Automatic Wafer Tape Mounter
11 Advantest T3323 Test System
12 Advantest T5375 Memory Tester
13 Aeronca WIS-600 Particle measurement
14 AG Associates 610 Benchtop Rapid Thermal Anneal Tool with Gas Control Box
15 AG Associates 2106 Rapid Thermal Processing System, for 100mm-150mm Wafers
16 AG Associates 2146 Rapid Thermal Processing System, Parts Tool Only
17 AG Associates 210M Rapid Thermal Implant Monitor
18 Agilent V800 Vseries V800 Test System
19 Air Liquide Gas Cabinet Dual Gas Cabinet for Nitrogen/Helium N2/HE
20 Air Liquide Gas Cabinet Dual Gas Cabinet for Dichlorosilane, with Auto-Switch & Auto Purge
21 Air Liquide Gas Cabinet Dual Gas Cabinet for Helium HE#7 Inert, with Auto-Switch & Auto Purge
22 Air Liquide Gas Cabinet Dual Gas Cabinet for Boron Trichoride
23 Akrion Systems V2-HL.2000 Hybrid-Linear Automatic Acid Wet Station with Robotic Transfer (New), for Dual 150mm
Cassettes24 Akrometrix TherMoire PS88 Flatness Measurement System
25 Alcan Tech / Canon MAS-8000 Plasma Photoresist Stripper
26 Alcatel ADS 1202H Dry Pump
27 Alcatel 5150CP Turbo Molecular Vacuum Pump with Alcatel CFF450 Controller
28 Alessi REL-4500 Analytical Wafer Prober with 6" (dia.) Gold Plated Chuck, ECC-1 Controller & Mitutoyo Optics
29 Alessi Remote Series 5000 Analytical Wafer Prober, for up to 300mm Wafers
30 Alphasem AG SL 9022 HSL Die Bonder
31 Alum-a-Lift A200-60 General Purpose Lift
32 Alum-a-Lift A200-72 General Purpose Lift
33 Alum-a-Lift A800-96 General Purpose Lift
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 1/18
34 Applied Materials 7811 RP EPI
35 Applied Materials 7800 RP EPI
36 Applied Materials 9500XR High Current
37 Applied Materials 9200 High Current
38 Applied Materials 8330 Metal - Applied Materials
39 Applied Materials AERA2+ Reticle Inspection
40 Applied Materials Centura 5200 DxZ PECVD (Chemical Vapor Deposition)
41 Applied Materials Centura 5200 eMxP+ Dielectric Etch
42 Applied Materials Centura 5200 MxP Dielectric Etch
43 Applied Materials Centura ACP Gate Stack Platform RTP Equipment
44 Applied Materials Centura ACP LED MOCVD MOCVD
45 Applied Materials Centura ACP RP EPI Epitaxial Silicon (EPI)
46 Applied Materials Centura AP AdvantEdge G5 Polysilicon Etch
47 Applied Materials Centura AP DPS AdvantEdge Poly Polysilicon Etch
48 Applied Materials Centura AP DPS II Polysilicon Polysilicon Etch
49 Applied Materials Centura AP Enabler Dielectric Etch
50 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition)
51 Applied Materials Centura DPS Poly R1 Polysilicon Etch
52 Applied Materials Centura II DPS Poly R1 Polysilicon Etch
53 Applied Materials Centura Sprint Metal CVD (Chemical Vapor Deposition)
54 Applied Materials Centura SUPER-E Dielectric Etch
55 Applied Materials Centura SUPER-E, Mxp Poly Polysilicon Etch
56 Applied Materials Compass Pro Darkfield Inspection
57 Applied Materials ComPLUS Darkfield Inspection
58 Applied Materials ComPLUS 3T Darkfield Inspection
59 Applied Materials ComPLUS 4T Darkfield Inspection
60 Applied Materials ComPLUS MP Darkfield Inspection
61 Applied Materials Endura 5500 Aluminum
Interconnect
PVD (Physical Vapor Deposition)
62 Applied Materials Mirra Dielectric CMP
63 Applied Materials NanoSEM 3D SEM - Critical Dimension (CD) Measurement
64 Applied Materials NanoSEM 3D Reticle CD SEM
65 Applied Materials P-5000 PECVD (Chemical Vapor Deposition)
66 Applied Materials P-5000 Metal CVD (Chemical Vapor Deposition)
67 Applied Materials Producer SE APF PECVD (Chemical Vapor Deposition)
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 2/18
68 Applied Materials Radiance Platform RTP Equipment
69 Applied Materials RadiancePlus Platform RTP Equipment
70 Applied Materials SEMVision G3 SEM - Defect Review (DR)
71 Applied Materials SEMVision G3 FIB SEM - Defect Review (DR)
72 Applied Materials Uvision 3 Brightfield Inspection
73 Applied Materials Uvision 4 Brightfield Inspection
74 Applied Materials Uvision 5 Brightfield Inspection
75 ASM Epsilon ONE EPI
76 ASM Epsilon 2000 EPI
77 ASM Epsilon 2000 EPI
78 ASM A412 Vertical Atmospheric Furnace
79 ASM Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
80 ASM Pacific Technology AD211 Die Bonder
81 ASM Pacific Technology IP360-WMM LED Bin Sorter
82 ASM Pacific Technology LPT08 LED Package Taping System
83 ASML PAS 5500/1100B 193nm (ArF) Scanner
84 ASML PAS 5500/250C i-Line Stepper
85 ASML TWINSCAN AT:1200B 193nm (ArF) Scanner
86 ASML TWiNSCAN XT:1700i Immersion Scanner
87 Assembly Automation SA-1MM Vibratory Bowl Feeder
88 Asymtek Millenium 620 Glue Dispense Tool
89 Asyst Technologies LPT 2200 SMIF
90 Atlas Copco GA 7 Air Compressor
91 August Tech. AVI Macro Auto Inspection
92 Axcelis Technologies Fusion ES3i Stripper/Asher
93 Axcelis Technologies Radiantstrip 320 Stripper/Asher
94 Axcelis Technologies Gemini GES Stripper/Asher
95 Axcelis Technologies Gemini GPL Stripper/Asher
96 Axcelis Technologies HC3 High Current Implanter
97 Axcelis Technologies Summit 300XT Standalone RTP Equipment
98 Bausch & Lomb StereoZoom 4 Microscope on Small Benchtop Stand, 0.7-3X with 15X W.F. Eyepieces
99 Bausch & Lomb StereoZoom 4 Microscope on Boom Stand, 0.7-3X with 10X W.F. Stereo Eyepieces
100 Bausch & Lomb StereoZoom 6 Plus Microscope, 0.67-4.0X with WF 10X/21 Eyepieces
101 Bausch & Lomb StereoZoom 6-ST Microscope on Boom Stand, 0.67-4X with 10X W.F. Stereo Eyepieces
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 3/18
102 Bausch & Lomb StereoZoom 7 Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens
103 Bay Voltex HT-6800 Recirculating Chiller, Water Cooled
104 Biorad Q5 Overlay Metrology Tool
105 Biorad Q6 Overlay Metrology Tool
106 Biorad Q7 Overlay Metrology / CD Measurement Tool for up to 200mm Wafers
107 Biorad Q8 Overlay Metroloty / CD Measurement Tool for up to 200mm Wafers
108 Biorad QS-300 FT-IR Spectrometer for up to 200mm Wafers
109 Biorad QS-408M Manual FTIR Spectrometer for Epi, SiN, BPSG Measurement, up to 200mm Wafers
110 Biorad QS-1200 FT-IR Spectrometer for up to 300mm Wafers
111 Biorad QS-2200A FT-IR Spectrometer, Cassette to Cassette
112 Blue M Electric Company DCC-1406-G-MP350 Bake Oven
113 Blue M Electric Company DCI-336-KY-MP350 Cure Oven
114 Blue M Electric Company 2170A Blue-M Bake Oven
115 Blue M Electric Company DCC-256C Dehydration/Post processing Hard bake
116 Branson S3100-11220LP Branson S3100-11220LP Asher
117 Branson 3100S Branson Ash
118 Branson 3000LP Resist Ash - Branson
119 Branson P-2100 Plasma Barrel Stripper, 12" X 20" Quartz Chamber, 1000W RF P/S
120 Branson PM 132 1000W RF Generator, 13.56 MHz
121 Branson Series 4000 Plasma Barrel Stripper, 10" X 20" Quartz Chamber, S4075-11020 Reactor Center
122 Branson 4055/2 Plasma Surface Treatment Tool with 5ea Shelf Electrodes
123 Brookfield RVT Viscometer
124 Brookfield DV-II+ Viscometer
125 Brooks Automation Ergospeed 3800 SMIF
126 Brooks Automation Multitran 5 3 Axis Robot, Rebuilt
127 Brooks Automation Ergospeed II SMIF
128 BTI BDF41 Oxide
129 BTI BDF41 N-Well/Deep Drive
130 BTI BDF41 Boron diff/drive
131 Camalot System 3600 Liquid Dispenser
132 Camtek Condor 202M LED optical inspection
133 Canon MAS-8000 Microwave Ashing System
134 Canon FPA-5000 ES3 248nm (KrF) Scanner
135 Canon Surpass 320 Stripper/Asher
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 4/18
136 Cascade PA200DS BlueRay Engineering Wafer Prober
137 CDE 168 4 point probe
138 CEE CE 4000 Stand-alone Track Coat(1)
139 Comdel CPS-500AS 13.56 MHz RF Generator - 100W-500W
140 CR Technology UF160-0 Xray System
141 Crossing Automation Asyst Spartan Wafer Sorter
142 CTI Cryogenics 8500 Water Cooled Helium Compressor
143 CTI Cryogenics 8300/8001 Water Cooled Helium Compressor
144 CTI Cryogenics MC Compressor
145 CTI Cryogenics On-Board 10F Cryogenic Vacuum Pump, Rebuilt
146 CTI Cryogenics SCW Helium Compressor
147 Dage BT23 Die Shear Tester with LC200 Die Shear Load Cell
148 Dage BT24 Ball/Bump Shear Tester
149 Dage PC-2400 Assembly Test Tool
150 Dainippon Screen AS-2000 Wafer Scrubber - Post CMP
151 Dainippon Screen SC-80BW-AV Linear Wafer Tracks (Resist Coater)
152 Dainippon Screen SS-80BW-AR Wafer Scrubber
153 Dainippon Screen SS-W80A-A Wafer Scrubber
154 Dainippon Screen 629 Scrubber
155 Dainippon Screen WS-820C Wet Station, 200mm, Various Configurations
156 Dainippon Screen SKW-80A-BVPE Photoresist Coater/Developer, 1 Coat, 2 Develop, WEE for 200mm Wafers
157 DEK USA Inc. Europa In-Line Screen Printer
158 DEK USA Inc. Galaxy In-Line Screen Printer
159 Desptach LDB1-17-3 Lab Oven - 17"(w) x 14"(d) x 12"(h)
160 Disco Hi-Tec DFP8160 Wafer Polisher
161 Disco Hi-Tec DGP8761 Wafer Backside Grinder
162 Drytek 384T Plasma Metal Etcher
163 Dynamic Micro Systems 2090 Reticle Stocker
164 Dynatex DX-III Wafer Scriber/Breaker
165 Ebara 40x20 Dry Vacuum Pump, 42 CFM, 5 Pump Stages, Ultimate Pressure of 30 m Torr
166 Ebara 50X20 Dry Vacuum Pump, 127 CFM, 6 Pump Stages
167 Ebara 80X25 Dry Vacuum Pump, 300 CFM, 6 Pump Stages
168 Ebara A10S Dry Pump
169 Ebara A150W-M Dry Pump
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 5/18
170 Ebara A150W-T Dry Pump
171 Ebara A30W Dry Pump
172 Ebara AA10 Dry Pump
173 Ebara EST200WN Dry Pump
174 Ebara FREX300 Poly/STI CMP
175 Ebara FREX300 Tungsten CMP
176 ECI Technology Qualilab QL-5EX Plating Bath Analysis
177 Edlon 100 Gal Chemical/Gas Storage & Delivery
178 Edlon 600 Gal Chemical/Gas Storage & Delivery
179 Edwards High Vacuum International DP40/EH250 Dry Vacuum Pump/Blower Package
180 Edwards High Vacuum International IM Interface Module
181 Edwards High Vacuum International PN D37215000 Flash Module
182 Edwards High Vacuum International iH600 Dry Pump
183 Edwards High Vacuum International iL70 Dry Pump
184 Ekra X5 In-Line Screen Printer
185 Electroglass 2010 Automatic Wafer Prober
186 Electroplating Engineers of Japan, Ltd
(EEJA)
CUP-Plater ECD (Electro Chemical Deposition)
187 Emcore / Veeco D180 MOCVD GaN System - SYS5841
188 ENI MW5-21021 RF Matchwork Control Unit
189 ENI OEM-12B RF Generator
190 ENI OEM-6 XL RF Generator
191 ESEC CT-2000 Automatic Flip Chip Die Attacher
192 EV Group EVG850DB Automated Debonding System
193 EV Group EVG850LT SOI and Direct Wafer Bonding System
194 EV Group EVG850TB Temporary Bonding System
195 EV Group EVG120 Coat and Develop Track
196 Faith Technology RapiTran Wafer Transfer Station
197 Faith Technology RapiTran 2 Wafer Transfer Station
198 FEI Company Micrion 9600 5nm Column Focused Ion Beam System
199 Filtrine POC-500W Chiller/Heat Exchanger
200 FSI Polaris 1000 In-line Cluster Coat(1)/Develop(2)
201 FSM FSM8800 Film Stress Measurement
202 Fusion Semiconductor Systems M150PC Fusion M150PC UV Tool
203 Fusion Semiconductor Systems M150PC Resist cure
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 6/18
204 Fusion Semiconductor Systems M200 PCU Photoresist Stabilization Tool, for up to 200mm Wafers
205 Fusion Semiconductor Systems 202MCU Stripper/Asher
206 GaSonics International PEP 3510 Plus Stripper/Asher
207 GaSonics International PEP 3510A Stripper/Asher
208 GCA/Tropel 9000 Surface Flatness Analyzer
209 GE Fanuc Series 90-30 Programmable Logic Controller w/Standard Power Supply Controller
210 GeMeTec Elymat III Lifetime Measurement
211 Genmark S08R Robot Controllers, PN 990010622
212 GSI Lumonics SuperClean YAG Laser Marking System for 4"-8" Wafers
213 GSI Lumonics SigmaClean Diode Pumped Laser Marking System for 4"-8" Wafers
214 Hitachi S-7000 CD SEM Measurement Tool
215 Hitachi CM-200 Die Bonder
216 Hitachi CM-270 Die Bonder
217 Hitachi IS-2600 Darkfield Inspection
218 Hitachi LM100 Die Bonder
219 Hitachi S-5200 FE SEM
220 Hitachi S-9220 SEM - Critical Dimension (CD) Measurement
221 Hitachi S-9360 SEM - Critical Dimension (CD) Measurement
222 Hitachi S-9380 SEM - Critical Dimension (CD) Measurement
223 Hitachi Aldinna ALD (Atomic Layer Deposition)
224 Horiba PD-3000 Reticle Defect Measurement
225 Horiba PD-2000 Reticle Defect Measurement
226 HP Hewlett Packard 54601A Oscilloscope, 4 Channel 100 MHz
227 HP/Verigy/Agilent 83000 VLSI Tester
228 HP/Verigy/Agilent 93000 VLSI Tester
229 H-Square 200mm 200mm Notch Aligner
230 Imtec QRT/S-A2002-51 Acculine/Accubath Quartz Tank, Laminated Heating for Dual 200mm Cassettes
231 Imtec QZ-A1252-14 Acculine/Accubath Quartz Tank, Laminated Heating for Dual 125mm Cassettes
232 Ionic Systems Stressgauge II Wafer Stress Measurement Tool, 150mm Wafers
233 IPS Nano ALD ALD (Atomic Layer Deposition)
234 Irvine Optical UltraSpec III Wafer Inspection Station with Nikon Optiphot Optics
235 Irvine Optical Ultrastation 3 Macro Inspection Station
236 Irvine Optical Ultrastation Wafer Inspection Microscope
237 Iwashita Shotmatic 3 Epoxy Dispenser, 2ea Available
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 7/18
238 Jeol JWS-7505ZH CD-SEM
239 JPSA Laser Chromadice LX-300 DPSS Laser Scribe
240 K&S 1471 Wire Bonder
241 K&S 1472 Wire Bonder
242 K&S 4523 Manual Wedge Bonder
243 K&S 6495 Semi-Automatic Epoxy Die Bonder with PRS
244 K&S 6496 Semi-Automatic Epoxy Die Bonder with PRS
245 K&S 6497 Semi-Automatic Flip Chip Die Bonder with PRS
246 K&S 1470-4 Automatic Hybrid Wedge Bonder, 100mm x 100mm Travel
247 K&S 1488 Plus Gold Wire Bonder
248 K&S 9388 Laser Pro Automatic Ball Attach System
249 K&S 982-10 Dicing Saw with 10" XY Travel
250 Kardex-Remstar RemStar Shuttle1850x825 NT Vertical Lift Module
251 Karl Suss RA120M Wafer Scriber, Parts Tool Only
252 Keithley Instruments 220 Programmable Current Source
253 Keithley Instruments 230 Programmable Voltage Source
254 Keithley Instruments 619 Digital Multimeter
255 Kinetic Systems Vibraplane 1201-01-11 Vibration Isolation Table 30"x35"x29"(h)
256 Kinetic Systems Vibraplane 1202-22-12S Vibration Isolation Table, 47" x 36"
257 Kinetic Systems Vibraplane 1201-11-11 Vibration Control Workstation
258 KLA Tencor P-20H Profiler
259 KLA Tencor M-Gage 300 Non-Contact Wafer Monitor for Sheet Resistance
260 KLA Tencor M-Gage 300 Non-Contact Wafer Monitor for Sheet Resistance, with DDC
261 KLA Tencor Surfscan 4000 Unpatterned Wafer Surface Inspection Tool - Parts Tool Only
262 KLA Tencor Surfscan 4500 Unpatterned Wafer Surface Inspection Tool, for 75mm-150mm Wafers
263 KLA Tencor AlphaStep 300 Profilometer
264 KLA Tencor 6220 Particle Detector
265 KLA Tencor 6420 Particle Detector
266 KLA Tencor VP10 4 point probe-Versapobe
267 KLA Tencor FLX2320A Film Stress
268 KLA Tencor UV1050 Film Thickness
269 KLA Tencor FT650 Film Thickness
270 KLA Tencor Omnimap RS55 Resistivity Mapping System
271 KLA Tencor CRS1010 Defect review station
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 8/18
272 KLA Tencor KLA2608 Defect review station
273 KLA Tencor KLA8100 Automatic CD measurement
274 KLA Tencor KLA239 Reticle Defect Measurement
275 KLA Tencor AlphaStep 300 Profilometer
276 KLA Tencor FT-650 Film Thickness Measurement
277 KLA Tencor FT-750 Film Thickness Inspection System
278 KLA Tencor Surfscan 7700 Patterned Wafer Surface Inspection Tool
279 KLA Tencor Surfscan AIT Patterned Wafer Surface Inspection Tool with Autofocus Review
280 KLA Tencor Surfscan AIT 8020 Patterned Wafer Surface Inspection Tool
281 KLA Tencor UV 1080 UV Film Thickness Tool, for up to 200mm Wafers
282 KLA Tencor 2138 Brightfield Inspection
283 KLA Tencor 2800 Brightfield Inspection
284 KLA Tencor AIT II Darkfield Inspection
285 KLA Tencor AIT XP Darkfield Inspection
286 KLA Tencor AIT XP+ Darkfield Inspection
287 KLA Tencor AIT XUV Darkfield Inspection
288 KLA Tencor Archer 10 Overlay Measurement System
289 KLA Tencor Quantox XP In-Line Electrical Monitoring and Characterization
290 KLA Tencor Surfscan SP1 DLS Particle Measurement
291 KLA Tencor Surfscan SP1 TBI Particle Measurement
292 KLA Tencor Terascan 586 Reticle Inspection
293 KLA Tencor Terascan SL536 Reticle Inspection
294 KLA Tencor UV-1280SE Film Thickness Measurement System
295 Kokusai Electric Quixace Vertical Diffusion Furnace
296 Kokusai Electric Quixace II Vertical Diffusion Furnace
297 Kokusai Electric Zestone-III(C) DJ-1223V Vertical Diffusion Furnace
298 KWT IZ-PS3100 LED Die Prober
299 LAM Research Rainbow 4520 Envision
300 LAM Research Rainbow 4520i Spacer / Contact - LAM Envision
301 LAM Research Rainbow 4500 Spacer / Contact - LAM Classic
302 LAM Research Rainbow 4500i Spacer / Contact - LAM Envision
303 LAM Research Rainbow 4500 VIA / SEB / REB - LAM Classic
304 LAM Research Rainbow 4400B Envision
305 LAM Research Rainbow 4520 VIA / SEB / REB - LAM Classic
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 9/18
306 LAM Research Autoetch 490 Nitride Etch - LAM
307 LAM Research Autoetch 490 Passivation - LAM
308 LAM Research Autoetch 490 Nitride Etch - LAM
309 LAM Research Rainbow 4405 Poly - LAM. Classic
310 LAM Research Rainbow 4420 Poly - LAM. Classic
311 LAM Research Rainbow 4600B Poly - LAM. Envision
312 LAM Research Rainbow 4606B Poly - LAM. Classic
313 LAM Research Rainbow 4600 Poly - LAM. Classic
314 LAM Research OnTrak DSS-200 Series II Wafer Scrubber for 200mm Wafers
315 LAM Research 2300 Exelan Dielectric Etch
316 LAM Research 2300 Versys Polysilicon Etch
317 LAM Research 2300 Versys Star Polysilicon Etch
318 LAM Research Alliance (A6) TCP 9400DFM Polysilicon Etch
319 Leica INS 2000 Defect Review System
320 Leica SZ6 StereoZoom Microscope on Boom Stand with 10X WF EPs
321 Leica INS3000 Macro-Defect
322 Leica LMS IPRO2 Reticle Inspection
323 Leitz Leitz Reticle Comparitor
324 Leybold-Heraeus Turbotronik NT 1000/1500 Turbomolecular Vacuum Pump Controller
325 Leybold-Heraeus Turbotronik NT 150/360 Turbomolecular Vacuum Pump Controller
326 Leybold-Heraeus Turbovac 1500 Turbomolecular Vacuum Pump
327 Leybold-Heraeus Pump Rack Pump Rack Including Electrical Box
328 LFE PDS-504 Plasma Cleaning Tool
329 Lintec RAD-25CXV Wafer Packer
330 Lumonics SuperClean YAG Laser Marking System for 4"-8" Wafers
331 Lumonics SigmaClean Diode Pumped Laser Marking System for 4"-8" Wafers
332 Mactronix Eureka PCJ600P4 Mass Transfer-Mactronix
333 Mactronix Eureka PCJ600P5 Mass Transfer-Mactronix
334 Mactronix Eureka PCJ600P5 Mass Transfer-Mactronix
335 Mactronix DFL-600 Furnace Loader
336 Mactronix Horizon HZN825P5 Wafer Transfer Tool for 200mm Wafers
337 Mactronix UKA-650 Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers
338 Mactronix UKA-825 Wafer Transfer Tool for 200mm Wafers
339 Madell RF330 Convection Oven
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 10/18
340 Manufacturing Integration Technology
(MIT)
TML 8000 Lead Inspection Equipment
341 Manufacturing Technology (MTI) MSS-816 Micro Slicing System
342 Markem 590 Pad Printer
343 Mattson Technology 2900 Standalone RTP Equipment
344 Mattson Technology 3000 Standalone RTP Equipment
345 Mattson Technology Aspen II Stripper/Asher
346 MC Systems 8806 Analytical Probing System w/B/L MicroZoom Microscope, 2.25X, 8X, 25X Objectives
347 MDC MDC986G Current/Voltage breakdown
348 MDC CSM/16 Automatic CV Plotter with 150mm DuoChuck, Dual 6"(dia.) Chucks
349 Megatest Polaris Megatest Polaris Test System
350 Megatest Genesis-III Megatest Genesis Test System
351 Mettler-Toledo AT 201 Mettler-Balance
352 MGI 1282 Wafer Transfer Station
353 Microautomation 2066 Wafer Scrubber
354 MKS PAS Residual Gas Analyzer
355 MKS Type 270A Signal Conditioner
356 MKS 600 Series - 651CD2S1N Pressure Controller
357 MKS NW100 Two Stage Heated Vacuum Valve, HPS DIV Jalapeno 45 Series Heater Cover
358 Mosaid 4155 Memory Test System
359 Motion Manufacture TM30 Wafer Mass Transfer
360 MPM SP200 Screen Printer
361 MPT RTP-600xp Rapid Thermal Processing System, Bench Top w/Windows XP OS
362 MPT RTP-600xp Rapid Thermal Processing System, Bench Top w/Windows NT
363 MRL Industries 1024 3-Tube Horizontal Diffusion Furnace w/Cantilever Loaders, 150mm Wafers
364 MRL Instruments Phoenix Gate Ox
365 MRL Instruments Phoenix Cap Ox
366 MRL Instruments Phoenix Metal anl/Dense
367 MRL Instruments Phoenix Oxide
368 MRL Instruments Phoenix TiSi
369 MRL Instruments Phoenix Sb Duf
370 MRL Instruments Phoenix N-Well/Deep Drive
371 MRL Instruments Phoenix Poly-Dope
372 MRL Instruments Phoenix NBL Drive
373 MRL Instruments Phoenix Teos
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 11/18
374 MRL Instruments Phoenix Poly Si
375 MRL Instruments Phoenix Nitride
376 Muratec Murata Machinery CD300 Wafer Stocker
377 Nanometrics Nanoline CD-50 CD Measurement Tool
378 Nanometrics Nanospec 181 Film Thickness Measurement System
379 Nanometrics Nanospec 2100 Film Thickness Measurement System with Irvine Optical Optistation 200 Automatic Wafer
Loader380 Nanometrics Nanospec 212 Film Thickness Measurement System with 125mm/150mm Dual Wafer Sliding Stage
381 Nanometrics SiPHER Wafer Characterization
382 Nanometrics Vertex Wafer Characterization
383 Nanospec CTS-102 Thickness measurement
384 Napco 5861 VACUM OVEN MOD 5861 NAPCO
385 Neslab ESC-150 Recirculating Water Chiller, Water Cooled with Magnetic Drive Pump
386 Neslab HX-150 Recirculating Water Chiller, Air Cooled w Digital Temp Ctlr
387 Neslab HX-150 Recirculating Water Chiller, Air Cooled
388 Neslab HX-150 Recirculating Water Chiller w/Analog Temp Ctlr, Water Cooled
389 Neslab HX-150DD Recirculating Water Chiller w/Analog Temp Ctrl, Water Cooled
390 Neslab HX-200 Recirculating Water Chiller w/Analog Temp Ctrl, Water Cooled
391 Neslab HX-300 Recirculating Water Chiller w/Analog Temp Ctrl, Water Cooled
392 Neslab HX-75 Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled
393 Neslab HX-75 Recirculating Water Chiller w/Analog Temp Ctlr, Air Cooled
394 Neslab HTD-1 Chiller/Heat Exchanger, Galden Chiller, Water Cooled
395 Newport RS 1000 Vibration Control - Optical Table
396 Newport SmartTable UT2 Vibration Control - Optical Table
397 Ney Ultrasonics microSONIK 170kHz Dual Sweep Pulse Generator & Power Module
398 Nicolet Magna 550 FT-IR Spectrometer
399 Nicolet Avatar 360 FT-IR Spectrometer
400 Nikon NSR-1505G4C Critical Photo pattern (> .25 um align, > 1.0 um CD)
401 Nikon NSR-1505G3A Non Critical Photo pattern (> .35 um align, >2 um CD)
402 Nikon Optiphot 88 Binocular Microscope with 5X, l0X, 20X & 40X Obj. Lenses, for 200mm Wafers
403 Nikon Optistation 2A Automatic Wafer Inspection Systems for 75mm- 150mm Wafers, Auto Focus
404 Nikon Optistation 3 Automatic Wafer Inspection Systems for 150mm Wafers
405 Nikon Optistation 3A Automatic Wafer Inspection Station for 200mm Wafers
406 Nikon V-12 Optical Comparator
407 Nikon Metaphot Binocular Microscope with 5X, 20X, 40X & 60X BF/DF Obj. Lenses, Polaroid Camera
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 12/18
408 Nikon NWL-641 Cassette Wafer Loaders for up to 150mm Wafers
409 Nikon NWL-851 Cassette Wafer Loaders for up to 200mm Wafers
410 Nikon Optiphot Wafer Inspection Microscope
411 Nikon Optiphot 150 Wafer Inspection Microscope, 10X, 20X, 50X, 100X & 150X Objectives, 150mm XY Stage
412 Nikon Optistation 3200 Optical Review System
413 Nitto DENKO D-304 Automatic Wafer Taper
414 Nitto DENKO H-304 Automatic Wafer Detaper
415 Nordson Asymtek S-820 LED Silicon Phosphor Dispensing
416 Nova Measuring Instruments NovaScan 2040 Integrated CMP Endpoint / Film Measurement
417 Nova Measuring Instruments NovaScan 3090 Next Integrated CMP Endpoint / Film Measurement
418 Novellus Concept One PECVD
419 Novellus 02-00345-01/REVT Novellus Gas Cabinet by e-Flow
420 Novellus Concept Three PDL PECVD (Chemical Vapor Deposition)
421 Novellus Concept Three Speed MAX Lite HDP CVD (Chemical Vapor Deposition)
422 Novellus Concept Three Speed NExT HDP CVD (Chemical Vapor Deposition)
423 Novellus Concept Two-Dual Altus-S WCVD (Chemical Vapor Deposition)
424 Novellus Concept Two-Dual Sequel-S PECVD (Chemical Vapor Deposition)
425 Novellus Concept Two-Dual Speed HDP CVD (Chemical Vapor Deposition)
426 Novellus Concept Two-Dual Speed-S HDP CVD (Chemical Vapor Deposition)
427 Novellus Concept Two-Single Sequel PECVD (Chemical Vapor Deposition)
428 Novellus Concept Two-Triple Speed HDP CVD (Chemical Vapor Deposition)
429 Novellus Concept Two-Triple Speed-S HDP CVD (Chemical Vapor Deposition)
430 Novellus PEP Iridia DL Stripper/Asher
431 Novellus SABRExT ECD (Electro Chemical Deposition)
432 Novellus VECTOR PECVD (Chemical Vapor Deposition)
433 Olympus AL100-B8 Microscope Wafer Loader with Backside Macro Inspection
434 Olympus AL100-L8 Wafer Loader, 200mm
435 Olympus BH-BHM Wafer Inspection Microscope
436 Olympus SZ3060 StereoZoom Microsope with GSWH20X/12.5 Eyepieces
437 Olympus AL100-L8 Microscope
438 Onsite Gas Systems N-20 N2 Generator with 100 Gallon Storage Tank
439 Ontrak Systems DSS-200 Series 2 Double Sided Wafer Scrubber, Configured for 200mm Wafers
440 Orbotech Symbion P36 Plus Solder Paste Inspection
441 Oyo Electric Aitos ATS1000/ ITOS-750A Image Sensor Tester
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 13/18
442 PAC PAC 150 Oxide etcher
443 PAC MRS1000 Asher
444 PAC PAC In-line Cluster Coat(1)/Develop(2)
445 Philips SPW-2800 Xray Fluorescence Metrology Tool for up to 200mm Wafers
446 Philips CM200 TEM
447 Philips/Technos TREX 610T Reflection XRF Spectrometer
448 Philips/Technos TREX 620 Total Reflection X-ray Fluorescence Spectrometer
449 Phoenix 520-NMP Electrode Stabilizing Oven
450 Plasmos SD2000 Automatic Ellipsometer
451 Poly-Flow Engineering Typhoon S-790 Quartz Tube Cleaner
452 Prometrix FT-750 Film Thickness Measuring Tool
453 Raytex Corporation EdgeScan Edge Defect
454 Recif IDLW8R Wafer ID Reader - Tabletop for 200mm Wafers
455 Recif SPP8 Wafer Transfer for 200mm Wafers
456 Reddish Electronics SM500 CXE Convection Reflow Oven
457 Rigaku 3630 Xray Fluorescence Wafer/Disk Analyzer
458 Rigaku 3640 Xray Fluorescence Wafer/Disk Analyzer
459 Rigaku 3700H TXRF Wafer Analyzer
460 Rigaku MFM65 X-Ray Inspection System
461 Rigaku WaferX 300 X-ray Fluorescence Spectrometer
462 Rudolph Technologies 3Di-8500 Bump Inspection
463 Sagax Isoscope 125 Film Thickness Monitor
464 Sagitta ECP-2000 Cross Section Polisher
465 Santa Clara Plastics 8500(A) Batch Wafer Processing
466 SDI FAaST-330 Dielectric Charaterization Tool with COCOS, SILC & Epi-t for up to 300mm Wafers
467 SDI SPV Station 1010 Surface Photo Voltage Tester
468 SDI SPV-300 Surface Photo Voltage Tester for up to 300mm Wafers
469 Seiko VP-1500 Thermal Video Printer
470 Seiwa KWEX-268 Wafer Transfer Station
471 Semitool 370 Spin Rinse Dry
472 Semix TR6132U SOG
473 SEZ Chemical Storage Cabinet Chemical Storage Cabinet
474 SEZ 223 Single Wafer Processing
475 SEZ DV-34 Single Wafer Processing
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 14/18
476 Shibaura Engineering Works CDE-300 Metal Etch
477 Sigma SQC-310 Deposition Controller
478 SMC HRZ Series Chiller/Heat Exchanger
479 Solid State Equipment Corporation SSEC 3301/2 Batch Wafer Processing
480 Solid State Equipment Corporation 2400e Parallel Seam Sealer
481 Solid State Measurement SSM 6100 Resistivity Measurement
482 Spectronics Corp Spectroline PC-1100A UV Eprom/Wafer Erasing System
483 Steag Industrie AG UCM-300 Reticle Cleaner
484 Steag Industrie AG AWP Batch Wafer Processing
485 Steag Industrie AG Marangoni IPA Dryer Batch Wafer Processing
486 STI Semitool ST-2700 SRD Stand-Alone. CU
487 Stokes 339-150 Oil Filtration Unit
488 STS 320PC RIE Etch Tool
489 Sumitomo Eaton Nova (SEN) LEX3 High Current Implanter
490 Sumitomo Eaton Nova (SEN) NV-GSD-HE3 High Energy Implanter
491 Surfcorder SE-2300 Surfcorder SE-2300 Surface Rughness Tool
492 Suss Microtec AG CB200M Semi Automated High Force Wafer Bonder
493 Suss Microtec AG CBC200 LED Bond Cluster
494 SVG SVG8600 Batch Wafer Processing
495 SVG 7000 Gate Ox
496 SVG 7000 Amorphus Poly
497 SVG 7000 Poly-Dope
498 SVG 7000 Gate Ox
499 Takatori ATRM-2100X Detaper
500 Takatori ATM-1100X Taper
501 Tegal 411 Plasma Barrel Stripper
502 Tektronix 7704 Oscilloscope
503 Tektronix 577-D2 Curve Tracer with 177 Test Fixture
504 Tektronix TDS430A Oscilloscope
505 TEL MARK II Stand-alone Track Develop(4)
506 TEL MARK-VZ Stand-alone Track Develop(2)
507 TEL Mark V Stand-alone Track Develop(4)
508 TEL MARK-VZ Stand-alone Track Develop(4)
509 TEL MARK II Stand-alone Track Coat(2)
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 15/18
510 TEL MARK-VZ Stand-alone Track Coat(2)
511 TEL Alpha-8SE-E Vertical FTP LTO/Nitride Furnace for 200mm Wafers
512 TEL Alpha-8SE-E Small Footprint FTP Oxidation Furnace 200mm Wafers
513 TEL ALPHA-303i Nitride Vertical Nitride Furnace
514 TEL ALPHA-303i Oxide Vertical Oxide Furnace
515 TEL ALPHA-8S Poly Vertical POLY Furnace
516 TEL ALPHA-8SE Vertical Diffusion Furnace
517 TEL ALPHA-8SE Poly Vertical POLY Furnace
518 TEL CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer)
519 TEL CLEAN TRACK ACT 12 Dual Block Coater / Developer
520 TEL CLEAN TRACK ACT 8 Single Block (Resist Coater)
521 TEL CLEAN TRACK ACT 8 SOD Spin On Dielectric (SOD)
522 TEL CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer)
523 TEL Clean Track Mark 7 Single Block (Resist Coater/Developer)
524 TEL Expedius Batch Wafer Processing
525 TEL Expedius+ Batch Wafer Processing
526 TEL PR300Z Batch Wafer Processing
527 TEL TELFORMULA Vertical Anneal Furnace
528 TEL TELINDY Vertical LPCVD Furnace
529 TEL TELINDY IRAD Vertical Atmospheric Furnace
530 TEL Telius 305 SCCM Dielectric Etch
531 TEL Trias Multi-Process Metal CVD (Chemical Vapor Deposition)
532 TEL Unity II 855DD Dielectric Etch
533 Teradyne A567 Teradyne Test System
534 Teradyne A588 Teradyne Test System
535 Teradyne A535 Teradyne Test System
536 Terra Universal 8 Tank Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks
537 Terra Universal Desiccator Box Desiccator Box, 12"x11"x12"
538 Terra Universal Desiccator Box Dessicator Box, Static Dissipative PVC, 4ea Shelves 36"(w) x 25"(d) x 9" (h), 2 Doors
539 Thermco 9000 Furnace
540 Thermo Electron MicronX CXR X-ray Fluorescence Spectrometer
541 Thermo Electron MicronX GXRC X-ray Fluorescence Spectrometer
542 Thermonics T-2400R Temperature Forcing Unit
543 Thermotron SE-1000 Environmental Chamber
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 16/18
544 Towa CC-S Injection Molding Press
545 Towa Intercon SBS 8808 Substrate Singulation
546 Towa LC1010 Compression Molding
547 Towa Y Series Molding Equipment
548 Trion Minilock Single Wafer RIE Etcher with Loadlock, 200mm Wafers
549 Trioptics Imagemaster PRO Wafer Modulation Transfer Function (MTF) Tester
550 Trioptics Wavemaster PRO Wafer Wavefront Measurement
551 TSK APM-90A Automatic Wafer Prober, for up to 200mm Wafers
552 TSK UF200A Automatic Wafer Prober
553 Ulvac G-100D Mechanical Vacuum Pump
554 Unitek MicroPull IV Wire Bond Pull Tester w/Leica SZ4 Microscope
555 Unitek MicroPull IV Wire Bond Pull Tester w/B&L SZ4 Microscope
556 Unitek Miyachi 1-124-05 Parallel Gap Welder with 2-152-02 Weld Head & 9-001-01 XFMR
557 US Stoneware Norton 12 Gal Ball Mill Ceramic Ball Mill
558 US Stoneware Norton 27 Gal Ball Mill Ceramic Ball Mill
559 Ushio UVS-4200-TIU UV Expose
560 Ushio UMA-1002 UV Cure System
561 Ushio UMA-2003 UV Cure System
562 Varian 350D Mid Current Implanter
563 Varian 300XP Mid Current Implanter
564 Varian VIISion 200 High Current Implanter
565 Varian 936-70 SP Helium Leak Detector
566 Varian SD331 Mechanical Vacuum Pump
567 Varian VIISion 80 High Current Implanter
568 Veeco FPP-100 4 point probe
569 Veeco MS-35T Turbopumped Leak Detector
570 Veeco Dimension X1D Atomic Force Microscope (AFM)
571 Verteq 1800 Spin Rinse Dry. CU
572 Verteq 1800 SRD Stand-Alone. single
573 Verteq 1800 SRD Stand-Alone. Double
574 Verteq 1600 SRD Stand-Alone
575 Verteq 1800 SRD Tool-Internal
576 Verteq MCS2600-46 Megasonic stand alone
577 Verteq 2600-34C Megasonic stand alone
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 17/18
578 Verteq ST600-42L Megasonic Cleaner
579 Verteq VcS-PPC-SAH Semi-Automatic Wet Process System
580 Vision Engineering Dynascope Projection Micrsoscope
581 Vitronics Magnatherm 410N Convection Oven
582 Vitronics Magnatherm 410N Convection Oven
583 Walter Lemmen RLM 419p Laminating Press
584 Wentworth Labs HOP "Hands Off Probe" Micropositioner
585 Wentworth Labs MP-2300 Analytical Wafer Prober, for up to 200mm Wafers
Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time!
sales@semistarcorp.com www.semistarcorp.com 18/18

More Related Content

What's hot

Dräger X-Dock For Portable Gas Detectors - Spec Sheet
Dräger X-Dock For Portable Gas Detectors - Spec SheetDräger X-Dock For Portable Gas Detectors - Spec Sheet
Dräger X-Dock For Portable Gas Detectors - Spec Sheet
Thorne & Derrick UK
 
Crowcon Gas-Pro Portable Gas Detector
Crowcon Gas-Pro Portable Gas DetectorCrowcon Gas-Pro Portable Gas Detector
Crowcon Gas-Pro Portable Gas Detector
Thorne & Derrick UK
 
Bang gia thiet_bi_dien_chint-01-10-2012
Bang gia thiet_bi_dien_chint-01-10-2012Bang gia thiet_bi_dien_chint-01-10-2012
Bang gia thiet_bi_dien_chint-01-10-2012
Lãng Quên
 

What's hot (20)

Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Semiconductor equipment semi star may 2020-ss7270
Semiconductor equipment   semi star may 2020-ss7270Semiconductor equipment   semi star may 2020-ss7270
Semiconductor equipment semi star may 2020-ss7270
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Aw 105 r plasma asher descum clean
Aw 105 r plasma asher descum cleanAw 105 r plasma asher descum clean
Aw 105 r plasma asher descum clean
 
Dräger X-Dock For Portable Gas Detectors - Spec Sheet
Dräger X-Dock For Portable Gas Detectors - Spec SheetDräger X-Dock For Portable Gas Detectors - Spec Sheet
Dräger X-Dock For Portable Gas Detectors - Spec Sheet
 
Original N-Channel Mosfet IRFR014TRLPBF IRFR014 FR014 60V 4.9A TO-252F New Vi...
Original N-Channel Mosfet IRFR014TRLPBF IRFR014 FR014 60V 4.9A TO-252F New Vi...Original N-Channel Mosfet IRFR014TRLPBF IRFR014 FR014 60V 4.9A TO-252F New Vi...
Original N-Channel Mosfet IRFR014TRLPBF IRFR014 FR014 60V 4.9A TO-252F New Vi...
 
Original N-Channel Mosfet IRFR224TRPBF FR224 224 TO-252 New Vishay Semiconductor
Original N-Channel Mosfet IRFR224TRPBF FR224 224 TO-252 New Vishay SemiconductorOriginal N-Channel Mosfet IRFR224TRPBF FR224 224 TO-252 New Vishay Semiconductor
Original N-Channel Mosfet IRFR224TRPBF FR224 224 TO-252 New Vishay Semiconductor
 
Acqu guide final
Acqu guide finalAcqu guide final
Acqu guide final
 
Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020
 
Total Chlorine Analyzer System
Total Chlorine Analyzer SystemTotal Chlorine Analyzer System
Total Chlorine Analyzer System
 
Original N-Channel Mosfet IRFUC20PBF 600V 2A TO-251 New Vishay
Original N-Channel Mosfet IRFUC20PBF 600V 2A TO-251 New VishayOriginal N-Channel Mosfet IRFUC20PBF 600V 2A TO-251 New Vishay
Original N-Channel Mosfet IRFUC20PBF 600V 2A TO-251 New Vishay
 
Crowcon Gas-Pro Portable Gas Detector
Crowcon Gas-Pro Portable Gas DetectorCrowcon Gas-Pro Portable Gas Detector
Crowcon Gas-Pro Portable Gas Detector
 
Scorpion ASD aspiratie test module SenseTek.nl
Scorpion ASD aspiratie test module SenseTek.nlScorpion ASD aspiratie test module SenseTek.nl
Scorpion ASD aspiratie test module SenseTek.nl
 
Bang gia thiet_bi_dien_chint-01-10-2012
Bang gia thiet_bi_dien_chint-01-10-2012Bang gia thiet_bi_dien_chint-01-10-2012
Bang gia thiet_bi_dien_chint-01-10-2012
 
SPICE MODEL of TPC8014 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPC8014 (Professional+BDP Model) in SPICE PARKSPICE MODEL of TPC8014 (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TPC8014 (Professional+BDP Model) in SPICE PARK
 
Original Logic IC SN74LVC14A SN54LVC14A 74LVC14A 54LVC14A SOP-14 New Texas In...
Original Logic IC SN74LVC14A SN54LVC14A 74LVC14A 54LVC14A SOP-14 New Texas In...Original Logic IC SN74LVC14A SN54LVC14A 74LVC14A 54LVC14A SOP-14 New Texas In...
Original Logic IC SN74LVC14A SN54LVC14A 74LVC14A 54LVC14A SOP-14 New Texas In...
 
Robotic suction sweepers
Robotic suction sweepersRobotic suction sweepers
Robotic suction sweepers
 
Original MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 New
Original MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 NewOriginal MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 New
Original MOSFET N-CHANNEL IRF530NPBF IRF530N IRF530 17A 100V TO-220 New
 
SPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARKSPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARK
SPICE MODEL of TK11A65D (Professional+BDP Model) in SPICE PARK
 

Similar to Used Semiconductor Equipment List

Brochure BTP 2012
Brochure BTP 2012Brochure BTP 2012
Brochure BTP 2012
Nguyen Hong
 
Rmdg Aerospace Presentation Powerpoint 97
Rmdg Aerospace Presentation Powerpoint 97Rmdg Aerospace Presentation Powerpoint 97
Rmdg Aerospace Presentation Powerpoint 97
Chris Summers
 

Similar to Used Semiconductor Equipment List (20)

Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1
 
Semiconductor equipment in USA May 26 2020
Semiconductor equipment in USA May 26 2020Semiconductor equipment in USA May 26 2020
Semiconductor equipment in USA May 26 2020
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141
 
Seraphim 6PB 265W Solar Panel Datasheet
Seraphim 6PB 265W Solar Panel DatasheetSeraphim 6PB 265W Solar Panel Datasheet
Seraphim 6PB 265W Solar Panel Datasheet
 
Allwin21 and main products
Allwin21 and main productsAllwin21 and main products
Allwin21 and main products
 
Plasma Etch / RIE AW-901eR AW-903eR
Plasma Etch / RIE AW-901eR AW-903eRPlasma Etch / RIE AW-901eR AW-903eR
Plasma Etch / RIE AW-901eR AW-903eR
 
Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020
 
Craig Technologies Aerospace and Defense Manufacturing Center (ADMC) Spec Sheet
Craig Technologies Aerospace and Defense Manufacturing Center (ADMC) Spec SheetCraig Technologies Aerospace and Defense Manufacturing Center (ADMC) Spec Sheet
Craig Technologies Aerospace and Defense Manufacturing Center (ADMC) Spec Sheet
 
AW-1008 plasma microwave 2.45GHz stripper asher
AW-1008  plasma microwave 2.45GHz stripper asherAW-1008  plasma microwave 2.45GHz stripper asher
AW-1008 plasma microwave 2.45GHz stripper asher
 
seraphim-275w
seraphim-275wseraphim-275w
seraphim-275w
 
Seraphim 300W Mono PERC
Seraphim 300W Mono PERCSeraphim 300W Mono PERC
Seraphim 300W Mono PERC
 
Brochure BTP 2012
Brochure BTP 2012Brochure BTP 2012
Brochure BTP 2012
 
Rmdg Aerospace Presentation Powerpoint 97
Rmdg Aerospace Presentation Powerpoint 97Rmdg Aerospace Presentation Powerpoint 97
Rmdg Aerospace Presentation Powerpoint 97
 
Glass level gauges 2015 rev 0
Glass level gauges   2015 rev 0Glass level gauges   2015 rev 0
Glass level gauges 2015 rev 0
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020
 
Test & Measurement 2016 Product Catalog Chroma
Test & Measurement 2016 Product Catalog ChromaTest & Measurement 2016 Product Catalog Chroma
Test & Measurement 2016 Product Catalog Chroma
 
Caliber Test & Measurement Rental Catalog
Caliber Test & Measurement Rental CatalogCaliber Test & Measurement Rental Catalog
Caliber Test & Measurement Rental Catalog
 
Caliber Test & MEasurement Rental Catalog
Caliber Test & MEasurement Rental CatalogCaliber Test & MEasurement Rental Catalog
Caliber Test & MEasurement Rental Catalog
 

More from Emily Tan

More from Emily Tan (20)

Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd Source
 
Tester Equipment Parts Inventory
Tester Equipment Parts InventoryTester Equipment Parts Inventory
Tester Equipment Parts Inventory
 
Four dimensions 280 Four-Point Probe Mapping System
Four dimensions 280 Four-Point Probe Mapping SystemFour dimensions 280 Four-Point Probe Mapping System
Four dimensions 280 Four-Point Probe Mapping System
 
Plasma Cleaning Plasma treatment system March plasma system March AP-1000
Plasma Cleaning Plasma treatment system March plasma system March AP-1000Plasma Cleaning Plasma treatment system March plasma system March AP-1000
Plasma Cleaning Plasma treatment system March plasma system March AP-1000
 

Recently uploaded

Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
vu2urc
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
Joaquim Jorge
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
Enterprise Knowledge
 

Recently uploaded (20)

Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024
 
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot TakeoffStrategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
Strategize a Smooth Tenant-to-tenant Migration and Copilot Takeoff
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 
Histor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slideHistor y of HAM Radio presentation slide
Histor y of HAM Radio presentation slide
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
Raspberry Pi 5: Challenges and Solutions in Bringing up an OpenGL/Vulkan Driv...
 
Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)Powerful Google developer tools for immediate impact! (2023-24 C)
Powerful Google developer tools for immediate impact! (2023-24 C)
 
Boost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdfBoost Fertility New Invention Ups Success Rates.pdf
Boost Fertility New Invention Ups Success Rates.pdf
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...2024: Domino Containers - The Next Step. News from the Domino Container commu...
2024: Domino Containers - The Next Step. News from the Domino Container commu...
 
How to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected WorkerHow to Troubleshoot Apps for the Modern Connected Worker
How to Troubleshoot Apps for the Modern Connected Worker
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
Apidays Singapore 2024 - Building Digital Trust in a Digital Economy by Veron...
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 

Used Semiconductor Equipment List

  • 1. Item OEM Model Process 1 Abbe Jar Roll Mill Storage Rack Support Equipment (Milling) 2 Abraisive Industries PF 500-3 Powder Blender / Feeder 3 Accu-Seal HDMP3, 675 Vacuum Sealers 4 ADE 9800 UltraScan Wafer Characterization 5 ADE AFS-3220 Wafer Characterization 6 ADE Episcan 1000 FT-IR Spectrometer 7 Advanced Energy 2011-000-D MDX Magnetron Drive 8 Advanced Laser Separation International DCM802 Laser Scribe 9 Advanced Metrology Systems IR3100 FT-IR 10 Advantek ATM-8600 Automatic Wafer Tape Mounter 11 Advantest T3323 Test System 12 Advantest T5375 Memory Tester 13 Aeronca WIS-600 Particle measurement 14 AG Associates 610 Benchtop Rapid Thermal Anneal Tool with Gas Control Box 15 AG Associates 2106 Rapid Thermal Processing System, for 100mm-150mm Wafers 16 AG Associates 2146 Rapid Thermal Processing System, Parts Tool Only 17 AG Associates 210M Rapid Thermal Implant Monitor 18 Agilent V800 Vseries V800 Test System 19 Air Liquide Gas Cabinet Dual Gas Cabinet for Nitrogen/Helium N2/HE 20 Air Liquide Gas Cabinet Dual Gas Cabinet for Dichlorosilane, with Auto-Switch & Auto Purge 21 Air Liquide Gas Cabinet Dual Gas Cabinet for Helium HE#7 Inert, with Auto-Switch & Auto Purge 22 Air Liquide Gas Cabinet Dual Gas Cabinet for Boron Trichoride 23 Akrion Systems V2-HL.2000 Hybrid-Linear Automatic Acid Wet Station with Robotic Transfer (New), for Dual 150mm Cassettes24 Akrometrix TherMoire PS88 Flatness Measurement System 25 Alcan Tech / Canon MAS-8000 Plasma Photoresist Stripper 26 Alcatel ADS 1202H Dry Pump 27 Alcatel 5150CP Turbo Molecular Vacuum Pump with Alcatel CFF450 Controller 28 Alessi REL-4500 Analytical Wafer Prober with 6" (dia.) Gold Plated Chuck, ECC-1 Controller & Mitutoyo Optics 29 Alessi Remote Series 5000 Analytical Wafer Prober, for up to 300mm Wafers 30 Alphasem AG SL 9022 HSL Die Bonder 31 Alum-a-Lift A200-60 General Purpose Lift 32 Alum-a-Lift A200-72 General Purpose Lift 33 Alum-a-Lift A800-96 General Purpose Lift Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 1/18
  • 2. 34 Applied Materials 7811 RP EPI 35 Applied Materials 7800 RP EPI 36 Applied Materials 9500XR High Current 37 Applied Materials 9200 High Current 38 Applied Materials 8330 Metal - Applied Materials 39 Applied Materials AERA2+ Reticle Inspection 40 Applied Materials Centura 5200 DxZ PECVD (Chemical Vapor Deposition) 41 Applied Materials Centura 5200 eMxP+ Dielectric Etch 42 Applied Materials Centura 5200 MxP Dielectric Etch 43 Applied Materials Centura ACP Gate Stack Platform RTP Equipment 44 Applied Materials Centura ACP LED MOCVD MOCVD 45 Applied Materials Centura ACP RP EPI Epitaxial Silicon (EPI) 46 Applied Materials Centura AP AdvantEdge G5 Polysilicon Etch 47 Applied Materials Centura AP DPS AdvantEdge Poly Polysilicon Etch 48 Applied Materials Centura AP DPS II Polysilicon Polysilicon Etch 49 Applied Materials Centura AP Enabler Dielectric Etch 50 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 51 Applied Materials Centura DPS Poly R1 Polysilicon Etch 52 Applied Materials Centura II DPS Poly R1 Polysilicon Etch 53 Applied Materials Centura Sprint Metal CVD (Chemical Vapor Deposition) 54 Applied Materials Centura SUPER-E Dielectric Etch 55 Applied Materials Centura SUPER-E, Mxp Poly Polysilicon Etch 56 Applied Materials Compass Pro Darkfield Inspection 57 Applied Materials ComPLUS Darkfield Inspection 58 Applied Materials ComPLUS 3T Darkfield Inspection 59 Applied Materials ComPLUS 4T Darkfield Inspection 60 Applied Materials ComPLUS MP Darkfield Inspection 61 Applied Materials Endura 5500 Aluminum Interconnect PVD (Physical Vapor Deposition) 62 Applied Materials Mirra Dielectric CMP 63 Applied Materials NanoSEM 3D SEM - Critical Dimension (CD) Measurement 64 Applied Materials NanoSEM 3D Reticle CD SEM 65 Applied Materials P-5000 PECVD (Chemical Vapor Deposition) 66 Applied Materials P-5000 Metal CVD (Chemical Vapor Deposition) 67 Applied Materials Producer SE APF PECVD (Chemical Vapor Deposition) Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 2/18
  • 3. 68 Applied Materials Radiance Platform RTP Equipment 69 Applied Materials RadiancePlus Platform RTP Equipment 70 Applied Materials SEMVision G3 SEM - Defect Review (DR) 71 Applied Materials SEMVision G3 FIB SEM - Defect Review (DR) 72 Applied Materials Uvision 3 Brightfield Inspection 73 Applied Materials Uvision 4 Brightfield Inspection 74 Applied Materials Uvision 5 Brightfield Inspection 75 ASM Epsilon ONE EPI 76 ASM Epsilon 2000 EPI 77 ASM Epsilon 2000 EPI 78 ASM A412 Vertical Atmospheric Furnace 79 ASM Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 80 ASM Pacific Technology AD211 Die Bonder 81 ASM Pacific Technology IP360-WMM LED Bin Sorter 82 ASM Pacific Technology LPT08 LED Package Taping System 83 ASML PAS 5500/1100B 193nm (ArF) Scanner 84 ASML PAS 5500/250C i-Line Stepper 85 ASML TWINSCAN AT:1200B 193nm (ArF) Scanner 86 ASML TWiNSCAN XT:1700i Immersion Scanner 87 Assembly Automation SA-1MM Vibratory Bowl Feeder 88 Asymtek Millenium 620 Glue Dispense Tool 89 Asyst Technologies LPT 2200 SMIF 90 Atlas Copco GA 7 Air Compressor 91 August Tech. AVI Macro Auto Inspection 92 Axcelis Technologies Fusion ES3i Stripper/Asher 93 Axcelis Technologies Radiantstrip 320 Stripper/Asher 94 Axcelis Technologies Gemini GES Stripper/Asher 95 Axcelis Technologies Gemini GPL Stripper/Asher 96 Axcelis Technologies HC3 High Current Implanter 97 Axcelis Technologies Summit 300XT Standalone RTP Equipment 98 Bausch & Lomb StereoZoom 4 Microscope on Small Benchtop Stand, 0.7-3X with 15X W.F. Eyepieces 99 Bausch & Lomb StereoZoom 4 Microscope on Boom Stand, 0.7-3X with 10X W.F. Stereo Eyepieces 100 Bausch & Lomb StereoZoom 6 Plus Microscope, 0.67-4.0X with WF 10X/21 Eyepieces 101 Bausch & Lomb StereoZoom 6-ST Microscope on Boom Stand, 0.67-4X with 10X W.F. Stereo Eyepieces Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 3/18
  • 4. 102 Bausch & Lomb StereoZoom 7 Microscope on Boom Stand, 1-7X with 15X Eyepieces and 0.67X Adapter Lens 103 Bay Voltex HT-6800 Recirculating Chiller, Water Cooled 104 Biorad Q5 Overlay Metrology Tool 105 Biorad Q6 Overlay Metrology Tool 106 Biorad Q7 Overlay Metrology / CD Measurement Tool for up to 200mm Wafers 107 Biorad Q8 Overlay Metroloty / CD Measurement Tool for up to 200mm Wafers 108 Biorad QS-300 FT-IR Spectrometer for up to 200mm Wafers 109 Biorad QS-408M Manual FTIR Spectrometer for Epi, SiN, BPSG Measurement, up to 200mm Wafers 110 Biorad QS-1200 FT-IR Spectrometer for up to 300mm Wafers 111 Biorad QS-2200A FT-IR Spectrometer, Cassette to Cassette 112 Blue M Electric Company DCC-1406-G-MP350 Bake Oven 113 Blue M Electric Company DCI-336-KY-MP350 Cure Oven 114 Blue M Electric Company 2170A Blue-M Bake Oven 115 Blue M Electric Company DCC-256C Dehydration/Post processing Hard bake 116 Branson S3100-11220LP Branson S3100-11220LP Asher 117 Branson 3100S Branson Ash 118 Branson 3000LP Resist Ash - Branson 119 Branson P-2100 Plasma Barrel Stripper, 12" X 20" Quartz Chamber, 1000W RF P/S 120 Branson PM 132 1000W RF Generator, 13.56 MHz 121 Branson Series 4000 Plasma Barrel Stripper, 10" X 20" Quartz Chamber, S4075-11020 Reactor Center 122 Branson 4055/2 Plasma Surface Treatment Tool with 5ea Shelf Electrodes 123 Brookfield RVT Viscometer 124 Brookfield DV-II+ Viscometer 125 Brooks Automation Ergospeed 3800 SMIF 126 Brooks Automation Multitran 5 3 Axis Robot, Rebuilt 127 Brooks Automation Ergospeed II SMIF 128 BTI BDF41 Oxide 129 BTI BDF41 N-Well/Deep Drive 130 BTI BDF41 Boron diff/drive 131 Camalot System 3600 Liquid Dispenser 132 Camtek Condor 202M LED optical inspection 133 Canon MAS-8000 Microwave Ashing System 134 Canon FPA-5000 ES3 248nm (KrF) Scanner 135 Canon Surpass 320 Stripper/Asher Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 4/18
  • 5. 136 Cascade PA200DS BlueRay Engineering Wafer Prober 137 CDE 168 4 point probe 138 CEE CE 4000 Stand-alone Track Coat(1) 139 Comdel CPS-500AS 13.56 MHz RF Generator - 100W-500W 140 CR Technology UF160-0 Xray System 141 Crossing Automation Asyst Spartan Wafer Sorter 142 CTI Cryogenics 8500 Water Cooled Helium Compressor 143 CTI Cryogenics 8300/8001 Water Cooled Helium Compressor 144 CTI Cryogenics MC Compressor 145 CTI Cryogenics On-Board 10F Cryogenic Vacuum Pump, Rebuilt 146 CTI Cryogenics SCW Helium Compressor 147 Dage BT23 Die Shear Tester with LC200 Die Shear Load Cell 148 Dage BT24 Ball/Bump Shear Tester 149 Dage PC-2400 Assembly Test Tool 150 Dainippon Screen AS-2000 Wafer Scrubber - Post CMP 151 Dainippon Screen SC-80BW-AV Linear Wafer Tracks (Resist Coater) 152 Dainippon Screen SS-80BW-AR Wafer Scrubber 153 Dainippon Screen SS-W80A-A Wafer Scrubber 154 Dainippon Screen 629 Scrubber 155 Dainippon Screen WS-820C Wet Station, 200mm, Various Configurations 156 Dainippon Screen SKW-80A-BVPE Photoresist Coater/Developer, 1 Coat, 2 Develop, WEE for 200mm Wafers 157 DEK USA Inc. Europa In-Line Screen Printer 158 DEK USA Inc. Galaxy In-Line Screen Printer 159 Desptach LDB1-17-3 Lab Oven - 17"(w) x 14"(d) x 12"(h) 160 Disco Hi-Tec DFP8160 Wafer Polisher 161 Disco Hi-Tec DGP8761 Wafer Backside Grinder 162 Drytek 384T Plasma Metal Etcher 163 Dynamic Micro Systems 2090 Reticle Stocker 164 Dynatex DX-III Wafer Scriber/Breaker 165 Ebara 40x20 Dry Vacuum Pump, 42 CFM, 5 Pump Stages, Ultimate Pressure of 30 m Torr 166 Ebara 50X20 Dry Vacuum Pump, 127 CFM, 6 Pump Stages 167 Ebara 80X25 Dry Vacuum Pump, 300 CFM, 6 Pump Stages 168 Ebara A10S Dry Pump 169 Ebara A150W-M Dry Pump Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 5/18
  • 6. 170 Ebara A150W-T Dry Pump 171 Ebara A30W Dry Pump 172 Ebara AA10 Dry Pump 173 Ebara EST200WN Dry Pump 174 Ebara FREX300 Poly/STI CMP 175 Ebara FREX300 Tungsten CMP 176 ECI Technology Qualilab QL-5EX Plating Bath Analysis 177 Edlon 100 Gal Chemical/Gas Storage & Delivery 178 Edlon 600 Gal Chemical/Gas Storage & Delivery 179 Edwards High Vacuum International DP40/EH250 Dry Vacuum Pump/Blower Package 180 Edwards High Vacuum International IM Interface Module 181 Edwards High Vacuum International PN D37215000 Flash Module 182 Edwards High Vacuum International iH600 Dry Pump 183 Edwards High Vacuum International iL70 Dry Pump 184 Ekra X5 In-Line Screen Printer 185 Electroglass 2010 Automatic Wafer Prober 186 Electroplating Engineers of Japan, Ltd (EEJA) CUP-Plater ECD (Electro Chemical Deposition) 187 Emcore / Veeco D180 MOCVD GaN System - SYS5841 188 ENI MW5-21021 RF Matchwork Control Unit 189 ENI OEM-12B RF Generator 190 ENI OEM-6 XL RF Generator 191 ESEC CT-2000 Automatic Flip Chip Die Attacher 192 EV Group EVG850DB Automated Debonding System 193 EV Group EVG850LT SOI and Direct Wafer Bonding System 194 EV Group EVG850TB Temporary Bonding System 195 EV Group EVG120 Coat and Develop Track 196 Faith Technology RapiTran Wafer Transfer Station 197 Faith Technology RapiTran 2 Wafer Transfer Station 198 FEI Company Micrion 9600 5nm Column Focused Ion Beam System 199 Filtrine POC-500W Chiller/Heat Exchanger 200 FSI Polaris 1000 In-line Cluster Coat(1)/Develop(2) 201 FSM FSM8800 Film Stress Measurement 202 Fusion Semiconductor Systems M150PC Fusion M150PC UV Tool 203 Fusion Semiconductor Systems M150PC Resist cure Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 6/18
  • 7. 204 Fusion Semiconductor Systems M200 PCU Photoresist Stabilization Tool, for up to 200mm Wafers 205 Fusion Semiconductor Systems 202MCU Stripper/Asher 206 GaSonics International PEP 3510 Plus Stripper/Asher 207 GaSonics International PEP 3510A Stripper/Asher 208 GCA/Tropel 9000 Surface Flatness Analyzer 209 GE Fanuc Series 90-30 Programmable Logic Controller w/Standard Power Supply Controller 210 GeMeTec Elymat III Lifetime Measurement 211 Genmark S08R Robot Controllers, PN 990010622 212 GSI Lumonics SuperClean YAG Laser Marking System for 4"-8" Wafers 213 GSI Lumonics SigmaClean Diode Pumped Laser Marking System for 4"-8" Wafers 214 Hitachi S-7000 CD SEM Measurement Tool 215 Hitachi CM-200 Die Bonder 216 Hitachi CM-270 Die Bonder 217 Hitachi IS-2600 Darkfield Inspection 218 Hitachi LM100 Die Bonder 219 Hitachi S-5200 FE SEM 220 Hitachi S-9220 SEM - Critical Dimension (CD) Measurement 221 Hitachi S-9360 SEM - Critical Dimension (CD) Measurement 222 Hitachi S-9380 SEM - Critical Dimension (CD) Measurement 223 Hitachi Aldinna ALD (Atomic Layer Deposition) 224 Horiba PD-3000 Reticle Defect Measurement 225 Horiba PD-2000 Reticle Defect Measurement 226 HP Hewlett Packard 54601A Oscilloscope, 4 Channel 100 MHz 227 HP/Verigy/Agilent 83000 VLSI Tester 228 HP/Verigy/Agilent 93000 VLSI Tester 229 H-Square 200mm 200mm Notch Aligner 230 Imtec QRT/S-A2002-51 Acculine/Accubath Quartz Tank, Laminated Heating for Dual 200mm Cassettes 231 Imtec QZ-A1252-14 Acculine/Accubath Quartz Tank, Laminated Heating for Dual 125mm Cassettes 232 Ionic Systems Stressgauge II Wafer Stress Measurement Tool, 150mm Wafers 233 IPS Nano ALD ALD (Atomic Layer Deposition) 234 Irvine Optical UltraSpec III Wafer Inspection Station with Nikon Optiphot Optics 235 Irvine Optical Ultrastation 3 Macro Inspection Station 236 Irvine Optical Ultrastation Wafer Inspection Microscope 237 Iwashita Shotmatic 3 Epoxy Dispenser, 2ea Available Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 7/18
  • 8. 238 Jeol JWS-7505ZH CD-SEM 239 JPSA Laser Chromadice LX-300 DPSS Laser Scribe 240 K&S 1471 Wire Bonder 241 K&S 1472 Wire Bonder 242 K&S 4523 Manual Wedge Bonder 243 K&S 6495 Semi-Automatic Epoxy Die Bonder with PRS 244 K&S 6496 Semi-Automatic Epoxy Die Bonder with PRS 245 K&S 6497 Semi-Automatic Flip Chip Die Bonder with PRS 246 K&S 1470-4 Automatic Hybrid Wedge Bonder, 100mm x 100mm Travel 247 K&S 1488 Plus Gold Wire Bonder 248 K&S 9388 Laser Pro Automatic Ball Attach System 249 K&S 982-10 Dicing Saw with 10" XY Travel 250 Kardex-Remstar RemStar Shuttle1850x825 NT Vertical Lift Module 251 Karl Suss RA120M Wafer Scriber, Parts Tool Only 252 Keithley Instruments 220 Programmable Current Source 253 Keithley Instruments 230 Programmable Voltage Source 254 Keithley Instruments 619 Digital Multimeter 255 Kinetic Systems Vibraplane 1201-01-11 Vibration Isolation Table 30"x35"x29"(h) 256 Kinetic Systems Vibraplane 1202-22-12S Vibration Isolation Table, 47" x 36" 257 Kinetic Systems Vibraplane 1201-11-11 Vibration Control Workstation 258 KLA Tencor P-20H Profiler 259 KLA Tencor M-Gage 300 Non-Contact Wafer Monitor for Sheet Resistance 260 KLA Tencor M-Gage 300 Non-Contact Wafer Monitor for Sheet Resistance, with DDC 261 KLA Tencor Surfscan 4000 Unpatterned Wafer Surface Inspection Tool - Parts Tool Only 262 KLA Tencor Surfscan 4500 Unpatterned Wafer Surface Inspection Tool, for 75mm-150mm Wafers 263 KLA Tencor AlphaStep 300 Profilometer 264 KLA Tencor 6220 Particle Detector 265 KLA Tencor 6420 Particle Detector 266 KLA Tencor VP10 4 point probe-Versapobe 267 KLA Tencor FLX2320A Film Stress 268 KLA Tencor UV1050 Film Thickness 269 KLA Tencor FT650 Film Thickness 270 KLA Tencor Omnimap RS55 Resistivity Mapping System 271 KLA Tencor CRS1010 Defect review station Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 8/18
  • 9. 272 KLA Tencor KLA2608 Defect review station 273 KLA Tencor KLA8100 Automatic CD measurement 274 KLA Tencor KLA239 Reticle Defect Measurement 275 KLA Tencor AlphaStep 300 Profilometer 276 KLA Tencor FT-650 Film Thickness Measurement 277 KLA Tencor FT-750 Film Thickness Inspection System 278 KLA Tencor Surfscan 7700 Patterned Wafer Surface Inspection Tool 279 KLA Tencor Surfscan AIT Patterned Wafer Surface Inspection Tool with Autofocus Review 280 KLA Tencor Surfscan AIT 8020 Patterned Wafer Surface Inspection Tool 281 KLA Tencor UV 1080 UV Film Thickness Tool, for up to 200mm Wafers 282 KLA Tencor 2138 Brightfield Inspection 283 KLA Tencor 2800 Brightfield Inspection 284 KLA Tencor AIT II Darkfield Inspection 285 KLA Tencor AIT XP Darkfield Inspection 286 KLA Tencor AIT XP+ Darkfield Inspection 287 KLA Tencor AIT XUV Darkfield Inspection 288 KLA Tencor Archer 10 Overlay Measurement System 289 KLA Tencor Quantox XP In-Line Electrical Monitoring and Characterization 290 KLA Tencor Surfscan SP1 DLS Particle Measurement 291 KLA Tencor Surfscan SP1 TBI Particle Measurement 292 KLA Tencor Terascan 586 Reticle Inspection 293 KLA Tencor Terascan SL536 Reticle Inspection 294 KLA Tencor UV-1280SE Film Thickness Measurement System 295 Kokusai Electric Quixace Vertical Diffusion Furnace 296 Kokusai Electric Quixace II Vertical Diffusion Furnace 297 Kokusai Electric Zestone-III(C) DJ-1223V Vertical Diffusion Furnace 298 KWT IZ-PS3100 LED Die Prober 299 LAM Research Rainbow 4520 Envision 300 LAM Research Rainbow 4520i Spacer / Contact - LAM Envision 301 LAM Research Rainbow 4500 Spacer / Contact - LAM Classic 302 LAM Research Rainbow 4500i Spacer / Contact - LAM Envision 303 LAM Research Rainbow 4500 VIA / SEB / REB - LAM Classic 304 LAM Research Rainbow 4400B Envision 305 LAM Research Rainbow 4520 VIA / SEB / REB - LAM Classic Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 9/18
  • 10. 306 LAM Research Autoetch 490 Nitride Etch - LAM 307 LAM Research Autoetch 490 Passivation - LAM 308 LAM Research Autoetch 490 Nitride Etch - LAM 309 LAM Research Rainbow 4405 Poly - LAM. Classic 310 LAM Research Rainbow 4420 Poly - LAM. Classic 311 LAM Research Rainbow 4600B Poly - LAM. Envision 312 LAM Research Rainbow 4606B Poly - LAM. Classic 313 LAM Research Rainbow 4600 Poly - LAM. Classic 314 LAM Research OnTrak DSS-200 Series II Wafer Scrubber for 200mm Wafers 315 LAM Research 2300 Exelan Dielectric Etch 316 LAM Research 2300 Versys Polysilicon Etch 317 LAM Research 2300 Versys Star Polysilicon Etch 318 LAM Research Alliance (A6) TCP 9400DFM Polysilicon Etch 319 Leica INS 2000 Defect Review System 320 Leica SZ6 StereoZoom Microscope on Boom Stand with 10X WF EPs 321 Leica INS3000 Macro-Defect 322 Leica LMS IPRO2 Reticle Inspection 323 Leitz Leitz Reticle Comparitor 324 Leybold-Heraeus Turbotronik NT 1000/1500 Turbomolecular Vacuum Pump Controller 325 Leybold-Heraeus Turbotronik NT 150/360 Turbomolecular Vacuum Pump Controller 326 Leybold-Heraeus Turbovac 1500 Turbomolecular Vacuum Pump 327 Leybold-Heraeus Pump Rack Pump Rack Including Electrical Box 328 LFE PDS-504 Plasma Cleaning Tool 329 Lintec RAD-25CXV Wafer Packer 330 Lumonics SuperClean YAG Laser Marking System for 4"-8" Wafers 331 Lumonics SigmaClean Diode Pumped Laser Marking System for 4"-8" Wafers 332 Mactronix Eureka PCJ600P4 Mass Transfer-Mactronix 333 Mactronix Eureka PCJ600P5 Mass Transfer-Mactronix 334 Mactronix Eureka PCJ600P5 Mass Transfer-Mactronix 335 Mactronix DFL-600 Furnace Loader 336 Mactronix Horizon HZN825P5 Wafer Transfer Tool for 200mm Wafers 337 Mactronix UKA-650 Wafer Transfer Tool - Eureka III Sr. for 150mm Wafers 338 Mactronix UKA-825 Wafer Transfer Tool for 200mm Wafers 339 Madell RF330 Convection Oven Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 10/18
  • 11. 340 Manufacturing Integration Technology (MIT) TML 8000 Lead Inspection Equipment 341 Manufacturing Technology (MTI) MSS-816 Micro Slicing System 342 Markem 590 Pad Printer 343 Mattson Technology 2900 Standalone RTP Equipment 344 Mattson Technology 3000 Standalone RTP Equipment 345 Mattson Technology Aspen II Stripper/Asher 346 MC Systems 8806 Analytical Probing System w/B/L MicroZoom Microscope, 2.25X, 8X, 25X Objectives 347 MDC MDC986G Current/Voltage breakdown 348 MDC CSM/16 Automatic CV Plotter with 150mm DuoChuck, Dual 6"(dia.) Chucks 349 Megatest Polaris Megatest Polaris Test System 350 Megatest Genesis-III Megatest Genesis Test System 351 Mettler-Toledo AT 201 Mettler-Balance 352 MGI 1282 Wafer Transfer Station 353 Microautomation 2066 Wafer Scrubber 354 MKS PAS Residual Gas Analyzer 355 MKS Type 270A Signal Conditioner 356 MKS 600 Series - 651CD2S1N Pressure Controller 357 MKS NW100 Two Stage Heated Vacuum Valve, HPS DIV Jalapeno 45 Series Heater Cover 358 Mosaid 4155 Memory Test System 359 Motion Manufacture TM30 Wafer Mass Transfer 360 MPM SP200 Screen Printer 361 MPT RTP-600xp Rapid Thermal Processing System, Bench Top w/Windows XP OS 362 MPT RTP-600xp Rapid Thermal Processing System, Bench Top w/Windows NT 363 MRL Industries 1024 3-Tube Horizontal Diffusion Furnace w/Cantilever Loaders, 150mm Wafers 364 MRL Instruments Phoenix Gate Ox 365 MRL Instruments Phoenix Cap Ox 366 MRL Instruments Phoenix Metal anl/Dense 367 MRL Instruments Phoenix Oxide 368 MRL Instruments Phoenix TiSi 369 MRL Instruments Phoenix Sb Duf 370 MRL Instruments Phoenix N-Well/Deep Drive 371 MRL Instruments Phoenix Poly-Dope 372 MRL Instruments Phoenix NBL Drive 373 MRL Instruments Phoenix Teos Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 11/18
  • 12. 374 MRL Instruments Phoenix Poly Si 375 MRL Instruments Phoenix Nitride 376 Muratec Murata Machinery CD300 Wafer Stocker 377 Nanometrics Nanoline CD-50 CD Measurement Tool 378 Nanometrics Nanospec 181 Film Thickness Measurement System 379 Nanometrics Nanospec 2100 Film Thickness Measurement System with Irvine Optical Optistation 200 Automatic Wafer Loader380 Nanometrics Nanospec 212 Film Thickness Measurement System with 125mm/150mm Dual Wafer Sliding Stage 381 Nanometrics SiPHER Wafer Characterization 382 Nanometrics Vertex Wafer Characterization 383 Nanospec CTS-102 Thickness measurement 384 Napco 5861 VACUM OVEN MOD 5861 NAPCO 385 Neslab ESC-150 Recirculating Water Chiller, Water Cooled with Magnetic Drive Pump 386 Neslab HX-150 Recirculating Water Chiller, Air Cooled w Digital Temp Ctlr 387 Neslab HX-150 Recirculating Water Chiller, Air Cooled 388 Neslab HX-150 Recirculating Water Chiller w/Analog Temp Ctlr, Water Cooled 389 Neslab HX-150DD Recirculating Water Chiller w/Analog Temp Ctrl, Water Cooled 390 Neslab HX-200 Recirculating Water Chiller w/Analog Temp Ctrl, Water Cooled 391 Neslab HX-300 Recirculating Water Chiller w/Analog Temp Ctrl, Water Cooled 392 Neslab HX-75 Recirculating Water Chiller w/Digital Temp Ctlr, Water Cooled 393 Neslab HX-75 Recirculating Water Chiller w/Analog Temp Ctlr, Air Cooled 394 Neslab HTD-1 Chiller/Heat Exchanger, Galden Chiller, Water Cooled 395 Newport RS 1000 Vibration Control - Optical Table 396 Newport SmartTable UT2 Vibration Control - Optical Table 397 Ney Ultrasonics microSONIK 170kHz Dual Sweep Pulse Generator & Power Module 398 Nicolet Magna 550 FT-IR Spectrometer 399 Nicolet Avatar 360 FT-IR Spectrometer 400 Nikon NSR-1505G4C Critical Photo pattern (> .25 um align, > 1.0 um CD) 401 Nikon NSR-1505G3A Non Critical Photo pattern (> .35 um align, >2 um CD) 402 Nikon Optiphot 88 Binocular Microscope with 5X, l0X, 20X & 40X Obj. Lenses, for 200mm Wafers 403 Nikon Optistation 2A Automatic Wafer Inspection Systems for 75mm- 150mm Wafers, Auto Focus 404 Nikon Optistation 3 Automatic Wafer Inspection Systems for 150mm Wafers 405 Nikon Optistation 3A Automatic Wafer Inspection Station for 200mm Wafers 406 Nikon V-12 Optical Comparator 407 Nikon Metaphot Binocular Microscope with 5X, 20X, 40X & 60X BF/DF Obj. Lenses, Polaroid Camera Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 12/18
  • 13. 408 Nikon NWL-641 Cassette Wafer Loaders for up to 150mm Wafers 409 Nikon NWL-851 Cassette Wafer Loaders for up to 200mm Wafers 410 Nikon Optiphot Wafer Inspection Microscope 411 Nikon Optiphot 150 Wafer Inspection Microscope, 10X, 20X, 50X, 100X & 150X Objectives, 150mm XY Stage 412 Nikon Optistation 3200 Optical Review System 413 Nitto DENKO D-304 Automatic Wafer Taper 414 Nitto DENKO H-304 Automatic Wafer Detaper 415 Nordson Asymtek S-820 LED Silicon Phosphor Dispensing 416 Nova Measuring Instruments NovaScan 2040 Integrated CMP Endpoint / Film Measurement 417 Nova Measuring Instruments NovaScan 3090 Next Integrated CMP Endpoint / Film Measurement 418 Novellus Concept One PECVD 419 Novellus 02-00345-01/REVT Novellus Gas Cabinet by e-Flow 420 Novellus Concept Three PDL PECVD (Chemical Vapor Deposition) 421 Novellus Concept Three Speed MAX Lite HDP CVD (Chemical Vapor Deposition) 422 Novellus Concept Three Speed NExT HDP CVD (Chemical Vapor Deposition) 423 Novellus Concept Two-Dual Altus-S WCVD (Chemical Vapor Deposition) 424 Novellus Concept Two-Dual Sequel-S PECVD (Chemical Vapor Deposition) 425 Novellus Concept Two-Dual Speed HDP CVD (Chemical Vapor Deposition) 426 Novellus Concept Two-Dual Speed-S HDP CVD (Chemical Vapor Deposition) 427 Novellus Concept Two-Single Sequel PECVD (Chemical Vapor Deposition) 428 Novellus Concept Two-Triple Speed HDP CVD (Chemical Vapor Deposition) 429 Novellus Concept Two-Triple Speed-S HDP CVD (Chemical Vapor Deposition) 430 Novellus PEP Iridia DL Stripper/Asher 431 Novellus SABRExT ECD (Electro Chemical Deposition) 432 Novellus VECTOR PECVD (Chemical Vapor Deposition) 433 Olympus AL100-B8 Microscope Wafer Loader with Backside Macro Inspection 434 Olympus AL100-L8 Wafer Loader, 200mm 435 Olympus BH-BHM Wafer Inspection Microscope 436 Olympus SZ3060 StereoZoom Microsope with GSWH20X/12.5 Eyepieces 437 Olympus AL100-L8 Microscope 438 Onsite Gas Systems N-20 N2 Generator with 100 Gallon Storage Tank 439 Ontrak Systems DSS-200 Series 2 Double Sided Wafer Scrubber, Configured for 200mm Wafers 440 Orbotech Symbion P36 Plus Solder Paste Inspection 441 Oyo Electric Aitos ATS1000/ ITOS-750A Image Sensor Tester Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 13/18
  • 14. 442 PAC PAC 150 Oxide etcher 443 PAC MRS1000 Asher 444 PAC PAC In-line Cluster Coat(1)/Develop(2) 445 Philips SPW-2800 Xray Fluorescence Metrology Tool for up to 200mm Wafers 446 Philips CM200 TEM 447 Philips/Technos TREX 610T Reflection XRF Spectrometer 448 Philips/Technos TREX 620 Total Reflection X-ray Fluorescence Spectrometer 449 Phoenix 520-NMP Electrode Stabilizing Oven 450 Plasmos SD2000 Automatic Ellipsometer 451 Poly-Flow Engineering Typhoon S-790 Quartz Tube Cleaner 452 Prometrix FT-750 Film Thickness Measuring Tool 453 Raytex Corporation EdgeScan Edge Defect 454 Recif IDLW8R Wafer ID Reader - Tabletop for 200mm Wafers 455 Recif SPP8 Wafer Transfer for 200mm Wafers 456 Reddish Electronics SM500 CXE Convection Reflow Oven 457 Rigaku 3630 Xray Fluorescence Wafer/Disk Analyzer 458 Rigaku 3640 Xray Fluorescence Wafer/Disk Analyzer 459 Rigaku 3700H TXRF Wafer Analyzer 460 Rigaku MFM65 X-Ray Inspection System 461 Rigaku WaferX 300 X-ray Fluorescence Spectrometer 462 Rudolph Technologies 3Di-8500 Bump Inspection 463 Sagax Isoscope 125 Film Thickness Monitor 464 Sagitta ECP-2000 Cross Section Polisher 465 Santa Clara Plastics 8500(A) Batch Wafer Processing 466 SDI FAaST-330 Dielectric Charaterization Tool with COCOS, SILC & Epi-t for up to 300mm Wafers 467 SDI SPV Station 1010 Surface Photo Voltage Tester 468 SDI SPV-300 Surface Photo Voltage Tester for up to 300mm Wafers 469 Seiko VP-1500 Thermal Video Printer 470 Seiwa KWEX-268 Wafer Transfer Station 471 Semitool 370 Spin Rinse Dry 472 Semix TR6132U SOG 473 SEZ Chemical Storage Cabinet Chemical Storage Cabinet 474 SEZ 223 Single Wafer Processing 475 SEZ DV-34 Single Wafer Processing Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 14/18
  • 15. 476 Shibaura Engineering Works CDE-300 Metal Etch 477 Sigma SQC-310 Deposition Controller 478 SMC HRZ Series Chiller/Heat Exchanger 479 Solid State Equipment Corporation SSEC 3301/2 Batch Wafer Processing 480 Solid State Equipment Corporation 2400e Parallel Seam Sealer 481 Solid State Measurement SSM 6100 Resistivity Measurement 482 Spectronics Corp Spectroline PC-1100A UV Eprom/Wafer Erasing System 483 Steag Industrie AG UCM-300 Reticle Cleaner 484 Steag Industrie AG AWP Batch Wafer Processing 485 Steag Industrie AG Marangoni IPA Dryer Batch Wafer Processing 486 STI Semitool ST-2700 SRD Stand-Alone. CU 487 Stokes 339-150 Oil Filtration Unit 488 STS 320PC RIE Etch Tool 489 Sumitomo Eaton Nova (SEN) LEX3 High Current Implanter 490 Sumitomo Eaton Nova (SEN) NV-GSD-HE3 High Energy Implanter 491 Surfcorder SE-2300 Surfcorder SE-2300 Surface Rughness Tool 492 Suss Microtec AG CB200M Semi Automated High Force Wafer Bonder 493 Suss Microtec AG CBC200 LED Bond Cluster 494 SVG SVG8600 Batch Wafer Processing 495 SVG 7000 Gate Ox 496 SVG 7000 Amorphus Poly 497 SVG 7000 Poly-Dope 498 SVG 7000 Gate Ox 499 Takatori ATRM-2100X Detaper 500 Takatori ATM-1100X Taper 501 Tegal 411 Plasma Barrel Stripper 502 Tektronix 7704 Oscilloscope 503 Tektronix 577-D2 Curve Tracer with 177 Test Fixture 504 Tektronix TDS430A Oscilloscope 505 TEL MARK II Stand-alone Track Develop(4) 506 TEL MARK-VZ Stand-alone Track Develop(2) 507 TEL Mark V Stand-alone Track Develop(4) 508 TEL MARK-VZ Stand-alone Track Develop(4) 509 TEL MARK II Stand-alone Track Coat(2) Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 15/18
  • 16. 510 TEL MARK-VZ Stand-alone Track Coat(2) 511 TEL Alpha-8SE-E Vertical FTP LTO/Nitride Furnace for 200mm Wafers 512 TEL Alpha-8SE-E Small Footprint FTP Oxidation Furnace 200mm Wafers 513 TEL ALPHA-303i Nitride Vertical Nitride Furnace 514 TEL ALPHA-303i Oxide Vertical Oxide Furnace 515 TEL ALPHA-8S Poly Vertical POLY Furnace 516 TEL ALPHA-8SE Vertical Diffusion Furnace 517 TEL ALPHA-8SE Poly Vertical POLY Furnace 518 TEL CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer) 519 TEL CLEAN TRACK ACT 12 Dual Block Coater / Developer 520 TEL CLEAN TRACK ACT 8 Single Block (Resist Coater) 521 TEL CLEAN TRACK ACT 8 SOD Spin On Dielectric (SOD) 522 TEL CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 523 TEL Clean Track Mark 7 Single Block (Resist Coater/Developer) 524 TEL Expedius Batch Wafer Processing 525 TEL Expedius+ Batch Wafer Processing 526 TEL PR300Z Batch Wafer Processing 527 TEL TELFORMULA Vertical Anneal Furnace 528 TEL TELINDY Vertical LPCVD Furnace 529 TEL TELINDY IRAD Vertical Atmospheric Furnace 530 TEL Telius 305 SCCM Dielectric Etch 531 TEL Trias Multi-Process Metal CVD (Chemical Vapor Deposition) 532 TEL Unity II 855DD Dielectric Etch 533 Teradyne A567 Teradyne Test System 534 Teradyne A588 Teradyne Test System 535 Teradyne A535 Teradyne Test System 536 Terra Universal 8 Tank Stainless Steel Sink with 8ea 14" X 14" X 12" (d) Tanks 537 Terra Universal Desiccator Box Desiccator Box, 12"x11"x12" 538 Terra Universal Desiccator Box Dessicator Box, Static Dissipative PVC, 4ea Shelves 36"(w) x 25"(d) x 9" (h), 2 Doors 539 Thermco 9000 Furnace 540 Thermo Electron MicronX CXR X-ray Fluorescence Spectrometer 541 Thermo Electron MicronX GXRC X-ray Fluorescence Spectrometer 542 Thermonics T-2400R Temperature Forcing Unit 543 Thermotron SE-1000 Environmental Chamber Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 16/18
  • 17. 544 Towa CC-S Injection Molding Press 545 Towa Intercon SBS 8808 Substrate Singulation 546 Towa LC1010 Compression Molding 547 Towa Y Series Molding Equipment 548 Trion Minilock Single Wafer RIE Etcher with Loadlock, 200mm Wafers 549 Trioptics Imagemaster PRO Wafer Modulation Transfer Function (MTF) Tester 550 Trioptics Wavemaster PRO Wafer Wavefront Measurement 551 TSK APM-90A Automatic Wafer Prober, for up to 200mm Wafers 552 TSK UF200A Automatic Wafer Prober 553 Ulvac G-100D Mechanical Vacuum Pump 554 Unitek MicroPull IV Wire Bond Pull Tester w/Leica SZ4 Microscope 555 Unitek MicroPull IV Wire Bond Pull Tester w/B&L SZ4 Microscope 556 Unitek Miyachi 1-124-05 Parallel Gap Welder with 2-152-02 Weld Head & 9-001-01 XFMR 557 US Stoneware Norton 12 Gal Ball Mill Ceramic Ball Mill 558 US Stoneware Norton 27 Gal Ball Mill Ceramic Ball Mill 559 Ushio UVS-4200-TIU UV Expose 560 Ushio UMA-1002 UV Cure System 561 Ushio UMA-2003 UV Cure System 562 Varian 350D Mid Current Implanter 563 Varian 300XP Mid Current Implanter 564 Varian VIISion 200 High Current Implanter 565 Varian 936-70 SP Helium Leak Detector 566 Varian SD331 Mechanical Vacuum Pump 567 Varian VIISion 80 High Current Implanter 568 Veeco FPP-100 4 point probe 569 Veeco MS-35T Turbopumped Leak Detector 570 Veeco Dimension X1D Atomic Force Microscope (AFM) 571 Verteq 1800 Spin Rinse Dry. CU 572 Verteq 1800 SRD Stand-Alone. single 573 Verteq 1800 SRD Stand-Alone. Double 574 Verteq 1600 SRD Stand-Alone 575 Verteq 1800 SRD Tool-Internal 576 Verteq MCS2600-46 Megasonic stand alone 577 Verteq 2600-34C Megasonic stand alone Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 17/18
  • 18. 578 Verteq ST600-42L Megasonic Cleaner 579 Verteq VcS-PPC-SAH Semi-Automatic Wet Process System 580 Vision Engineering Dynascope Projection Micrsoscope 581 Vitronics Magnatherm 410N Convection Oven 582 Vitronics Magnatherm 410N Convection Oven 583 Walter Lemmen RLM 419p Laminating Press 584 Wentworth Labs HOP "Hands Off Probe" Micropositioner 585 Wentworth Labs MP-2300 Analytical Wafer Prober, for up to 200mm Wafers Please email us to check the availability of the items listed in the table. They are subject to prior sale without notic. Appreciate your time! sales@semistarcorp.com www.semistarcorp.com 18/18