SlideShare a Scribd company logo
1 of 16
Download to read offline
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 1 sales@semistarcorp.com
1 AIMS Metrology AIMS 32 Phtotomask Repair System
2 AMAT CMP Reflexion Desica CMP
3 AMAT CVD
Centura AP
UltimaX
IMD FSG/USG HDP
4 AMAT CVD
Centura AP
UltimaX
IMD FSG/USG HDP
5 AMAT CVD
Centura AP
UltimaX
IMD FSG/USG HDP
6 AMAT CVD
Centura AP
UltimaX
IMD FSG/USG HDP
7 AMAT CVD
Centura AP
UltimaX
STI HDP
8 AMAT CVD Olympia SiN, LowK, ALD
9 AMAT CVD P5000 LTO CVD, TEOS
10 AMAT CVD P5000 LTO CVD, TEOS
11 AMAT CVD P5000 LTO CVD, TEOS
12 AMAT CVD P5000 PECVD
13 AMAT CVD P5000 TEOS
14 AMAT CVD P5000 TEOS
15 AMAT CVD Producer PESiH4
16 AMAT CVD
Producer GT
Chamber
CVD
17 AMAT CVD
Producer GT
Chamber
CVD, BloK, CVD
18 AMAT CVD
Producer GT
Chamber
CVD, ULK, CVD
19 AMAT CVD
Producer GT
Chamber
Low K
20 AMAT CVD
Producer GT
Chamber
TOPAZ, CVD
21 AMAT CVD
Producer Manual
Cassette Loader
-
22 AMAT CVD Producer SE ACL
23 AMAT CVD Producer SE ACL
24 AMAT CVD Producer SE CVD
25 AMAT CVD Producer SE HT-SiN
26 AMAT CVD Producer SE Low K(BD2)
27 AMAT CVD Producer SE LowK(BD)
28 AMAT CVD Producer SE LowK, BD2
29 AMAT CVD Producer SE PETEOS
30 AMAT CVD Producer SE SA BPSG
31 AMAT CVD Producer SE U-LowK Dielectric
32 AMAT CVD Producer SE -
33 AMAT CVD
Producer SE
Chamber
TFM_U-LowK Dielectric
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 2 sales@semistarcorp.com
34 AMAT CVD
Producer SE
Chamber
U-LowK Dielectric
35 AMAT Etch
Centura AP
Frame
4 Chambers BitLineEtch ---->
Harold: 2x chambers
36 AMAT Etch Centura DPS Gate Etch
37 AMAT Etch
Centura DPS2
AdvantEdge G5
Mesa
Metal
38 AMAT Etch
Centura DPS2
G5
W Bitline Etch
39 AMAT Etch
Centura DPS2
Metal
Metal
40 AMAT Etch
Centura DPS2
Metal
Metal
41 AMAT Etch
Centura DPS2
Poly
Poly
42 AMAT Etch
Centura DPS2
Poly
Poly
43 AMAT Etch
Centura DPS2
Poly
Poly
44 AMAT Etch
Centura eMax
CT+
ETCH
45 AMAT Etch
Centura eMax
CT+
ETCH
46 AMAT Etch
Centura eMax
CT+
Oxide
47 AMAT Etch Centura Enabler
AMAT CENTURA ENABLER 4CH
DFB [ILD ETCH SICOH]
48 AMAT Etch Centura Enabler Oxide
49 AMAT Etch Centura Enabler Oxide Etcher
50 AMAT Etch
Centura Enabler
E2
Oxide
51 AMAT Etch Producer GT FRONTIER etch
52 AMAT Etch Producer GT FRONTIER etch
53 AMAT Etch Producer GT FRONTIER etch
54 AMAT Etch Producer GT FRONTIER etch
55 AMAT Metrology DFinder2 Defect Inspection
56 AMAT Metrology DFinder2 Defect Inspection
57 AMAT Metrology DFinder2 Defect Inspection
58 AMAT Metrology DFinder2 Defect Inspection
59 AMAT Metrology NanoSEM 3D CD Metrology
60 AMAT Metrology NanoSEM 3D CD Metrology
61 AMAT Metrology NanoSEM 3D CD-SEM
62 AMAT Metrology NanoSEM 3D
Scanning Electron, CDSEM
Measurement
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 3 sales@semistarcorp.com
63 AMAT Metrology NanoSEM 3D
Scanning Electron, CDSEM
Measurement
64 AMAT Metrology NanoSEM 3D
Scanning Electron, CDSEM
Measurement
65 AMAT Metrology Orbot WF720 Metrology
66 AMAT Metrology SEMVision CX Defect Review
67 AMAT Metrology UVision 4 Bright field inspection
68 AMAT Metrology UVision 5 BFIUV5_Brightfield_AMAT
69 AMAT Metrology UVision 5 BFIUV5_Brightfield_AMAT
70 AMAT PVD Endura 2 METAL
71 AMAT PVD Endura 2 MoCVD
72 AMAT PVD
Endura 2
Chamber
Al Chamber, AL
73 AMAT PVD
Endura 2
Chamber
CHAMBER, CVD ALD TiN
74 AMAT PVD
Endura 2
Chamber
CVD Co Chambers, VOLTA
75 AMAT PVD
Endura 2
Chamber
PVD Ti Chamber
76 AMAT PVD
Endura 2
Chamber
-
77 AMAT PVD Endura CL METAL
78 AMAT PVD Endura CL PVD
79 AMAT PVD
Endura CL
Chamber
PVD Chamber
80 AMAT RTP AMC7800RPX EPI
81 AMAT RTP AMC7811 EPI
82 AMAT RTP AMC7821 EPI
83 AMAT RTP AMC7821 EPI
84 AMAT RTP Vantage Vulcan RTP
85 AMAT RTP Vantage Vulcan RTP
86 ASM CVD Dragon 2300 PECVD Equipment for Barrier
87 ASM CVD Eagle XP8 ASM Europe B.V. EAGLE XP8
88 ASM CVD Eagle XP8
ASM Europe B.V. EAGLE XP8
(warehouse)
89 ASM CVD Eagle10 DARC
90 ASM CVD Eagle10 PETEOS
91 ASM CVD Eagle12 Curing
92 ASM CVD Eagle12 CVD
93 ASM CVD EMERALD XP4 -
94 ASM CVD Epsilon 3200
Single Chamber EPI deposition
system
95 ASM Furnace A412 (IBMPAIDTOOL)
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 4 sales@semistarcorp.com
96 ASM Furnace A412 (IBMPAIDTOOL)
97 ASM Furnace A412 LPCVD SiN & SiN
98 ASML Scanner AT1200 193nm, Scanner
99 ASML Scanner AT850C PHOTO
100 ASML Scanner AT850T KrF
101 ASML Scanner NXT1950i Lithography
102 ASML Scanner XT1250B Lithography ARF
103 ASML Scanner XT1250D Lithography
104 ASML Scanner XT1700FI Immersion
105 ASML Scanner XT760F Krf Twinscan
106 Axcelis Asher Microlite Lithography
107 Axcelis Etch Integra -
108 Axcelis Etch Integra -
109 Axcelis Track
RapidCure
320FC
RPC
110 Axcelis Track
RapidCure
320FC
UV anneal Unit
111 BMR Etch ICP Etcher ICP Etch
112 BMR Etch ICP Etcher ICP Etch
113 Bruker Metrology D8 DISCOVER X-Ray Metrology
114 Bruker Metrology D8FABLINE X-ray
115 Bruker Metrology D8FABLINE XRD
116 Bruker Metrology InSight 3D-DR Mask House Photomask Inspection
117 Canon Scanner FPA-5000ES3 KrF
118 Canon Scanner FPA-5000ES3 KrF
119 Canon Scanner FPA-6000ES6a KrF, 90nm
120 Canon Scanner FPA-6000ES6a KrF, 90nm
121 Canon Scanner FPA-6000ES6a KrF, 90nm
122 Canon Stepper FPA-5500iZ i-Line
123 Canon Stepper FPA-5500iZ i-Line
124 Canon Stepper FPA-5500iZ i-Line
125 Canon Stepper FPA-5500iZ+ i-Line
126
Canon
Anelva
PVD C-7100GT
Canon and Anelva xT with 2PVD
chambers
127
Canon
Anelva
PVD C-7100GT -
128
Canon
Anelva
PVD FC7100 PVD
129 Cymer Scanner ELS-5305 Cymer Laser
130 Cymer Scanner ELS-5305 Cymer Laser
131 Cymer Scanner ELS-5400 KrF Laser
132 EBARA CMP EPO-222 WCMP
133 EBARA CMP EPO-222A W
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 5 sales@semistarcorp.com
134 EBARA CMP EPO-223 W
135 EBARA CMP EPO-223 W
136 EBARA CMP EPO-223 W
137 EBARA CMP F-REX200 CMP
138 EBARA CMP F-REX200 STI
139 EBARA CMP F-REX200 Tungsten
140 EBARA CMP F-REX300S CMP, Chemical Mechanical Polish
141 EBARA CMP F-REX300S CMP, Chemical Mechanical Polish
142 EBARA CMP F-REX300S CMP, Chemical Mechanical Polish
143 EBARA CMP F-REX300S EFEM,Oxide CMP
144 EBARA CMP F-REX300S W
145 EBARA CMP F-REX300S W
146 EBARA CMP F-REX300S W
147 EBARA CMP F-REX300S WCMP
148 EBARA CMP F-REX300S2 CMP
149 FEI Metrology
ExSolve 2 WTP
EFEM
High Accuracy FIB
150 FEI Metrology Strata FIB 205 Focused Ion Beam Microscope
151 FEI Metrology Tecnai G2 F20 PFA
152 FEI Metrology V600 Single Beam FIB.
153 Fusion Track M150PCU Lithography
154 Fusion Track M150PCU Lithography
155 Fusion Track M150PCU Lithography
156 Gasonics Asher PEP Iridia Stripper/Asher
157 Gasonics Asher PEP Iridia Stripper/Asher
158 GES CVD CAYMAN PESiON
159 GES CVD CAYMAN PESiON
160 GES CVD CAYMAN PESiON
161 GES CVD CAYMAN PESiON
162 GES CVD CAYMAN PESiON
163
Hermes
Microvision
Metrology eP3 XP E-Beam Inspection System
164
Hermes
Microvision
Metrology eScan500 ebeam Inspection
165 Hitachi Etch DM421P Etch
166 Hitachi Metrology HD2300 STEM
167 Hitachi Metrology I6300 Dark field inspection
168 Hitachi Metrology I6300 Dark field inspection
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 6 sales@semistarcorp.com
169 Hitachi Metrology IS2700 Dark Field inspection
170 Hitachi Metrology RS4000 DR SEM
171 Hitachi Metrology RS4000 DR SEM
172 Hitachi Metrology RS4000 DR SEM
173 Hitachi Metrology RS5000 DR SEM
174 Hitachi Metrology RS5000 DR SEM
175 Hitachi Metrology S-4800 FE SEM
176 Hitachi Metrology S-5200 FE SEM
177 Hitachi Metrology S-5200 SEM, Ultra High Resolution
178 Hitachi Metrology S-9380 CDSEM
179 Hitachi Metrology S-9380 CDSEM
180 Hitachi Metrology S-9380II CD SEM
181 Hitachi Metrology S-9380II CD SEM
182
Hitachi
Kokusai
Asher RAM-8500ZX Asher
183
Hitachi
Kokusai
Furnace DD-1206V-DF Gate OX
184
Hitachi
Kokusai
Furnace DD-1206V-DF LP SiN
185
Hitachi
Kokusai
Furnace DD-1206V-DF Oxide undope
186
Hitachi
Kokusai
Furnace DD-1206V-DF Oxide undope
187
Hitachi
Kokusai
Furnace DD-1206V-DF SIN-Undop
188
Hitachi
Kokusai
Furnace DD-1206V-DF SIN-Undop
189
Hitachi
Kokusai
Furnace DD-1206VN-DF Oxide undope
190
Hitachi
Kokusai
Furnace DD-1206VN-DF SIN-Undop
191
Hitachi
Kokusai
Furnace DD-1223V Anneal, Cobalt
192
Hitachi
Kokusai
Furnace DD-1223VN ALD-TiN
193
Hitachi
Kokusai
Furnace DD-1236VN-DF
Low Temp Steam Anneal - for SOD
Cure
194
Hitachi
Kokusai
Furnace DJ-1206VN-DM LPCVD SiN
195
Hitachi
Kokusai
Furnace DJ-1206VN-DM LPCVD SiN
196
Hitachi
Kokusai
Furnace DJ-1206VN-DM Specer Nitride,Smart batch
197
Hitachi
Kokusai
Furnace DJ-1236VN-DF ALD-TiN
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 7 sales@semistarcorp.com
198
Hitachi
Kokusai
Furnace DJ-1236VN-DF TiN_ALD
199
Hitachi
Kokusai
Furnace Quixace LV Boron-Doped Poly
200
Hitachi
Kokusai
Furnace Quixace Ultimate ALDTiN
201 Horiba Metrology PR-PD2
Reticle/Mask Particle Detection
System
202 JEOL Metrology
ARM200CF
Super X
PFA
203 JEOL Metrology JEM3200FS TEM
204
Jordan
Valley
Metrology JVX6200i TFM_THK_JV
205
Jordan
Valley
Metrology JVX6200i TFM_THK_JV
206 KLA Metrology 3905
Broadband Plasma Patterned Wafer
Inspection
207 KLA Metrology 3905
Broadband Plasma Patterned Wafer
Inspection
208 KLA Metrology AIT Fusion Dark Field inspection
209 KLA Metrology AIT XUV Dark Field inspection
210 KLA Metrology Aleris CX Film thickness measurements
211 KLA Metrology Aleris HX8500 Film thickness measurements
212 KLA Metrology Archer 300 AIM Overlay
213 KLA Metrology Archer AIM Overlay Measurement
214 KLA Metrology Archer AIM Overlay Measurement
215 KLA Metrology Archer AIM MPX Overlay
216 KLA Metrology ASET-F5x Configulation
217 KLA Metrology ASET-F5x Thickness measurement
218 KLA Metrology EDR5210 Defect Review SEM
219 KLA Metrology EDR5210 Defect Review SEM
220 KLA Metrology Ergolux Metrology
221 KLA Metrology HRP-340 Profiler
222 KLA Metrology INM100+INS10 Metrology
223 KLA Metrology KLA5100 Metrology
224 KLA Metrology MPV CD2 AMC Metrology
225 KLA Metrology MPV CD2 AMC Metrology
226 KLA Metrology MPV-CD Metrology
227 KLA Metrology NANOMAPPER Nanotopography
228 KLA Metrology Puma 9000 Dark Field Inspection
229 KLA Metrology Puma 9130 Dark Field Inspection
230 KLA Metrology SFS7700 Particle Counter
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 8 sales@semistarcorp.com
231 KLA Metrology SP1 DLS Particle counter
232 KLA Metrology Spectra CD XT Optical Scatterometry
233 KLA Metrology Surfscan 2.1 Particle Counter
234 Komatsu Scanner G20K2-1 KrF Laser
235 Komatsu Scanner G20K4-1 KrF Laser
236 Komatsu Scanner G20K4-1 KrF Laser
237 Komatsu Scanner G20K4-1 KrF Laser
238 Lam Etch
2300 Exelan
Flex45
Oxide
239 Lam Etch R4600 Dry Etch-ASH tool (#5)
240 Lam Etch R4600B Dry Etch-ASH tool (#6)
241 Lam Etch Strip45 Chamber ETC
242 Lam Etch Strip45 Chamber ETC
243 Lam Etch Strip45 Chamber ETC
244 Lam Etch Strip45 Chamber ETC
245 LASERTEC Metrology BI100
EUV Reticle Back Side Inspection
Tool
246 LASERTEC Metrology PEGSIS P100 Reticle Inspection Tool
247
Matsushita-
Seiki
Metrology
M515 Pellicle
Mount
Photomask Pellicle Mounting
248
Matsushita-
Seiki
Metrology
M777 Pellicle
Demount
Automated Pellicle Demounter
249 Mattson Asher Aspen 3 Lite LITE ETCHER
250 Mattson Etch ParadigmE Etch
251 Mattson Etch ParadigmE XP Light Etch
252 Mattson RTP AST3000 RTP
253 Mattson RTP AST3000 RTP
254 Mattson RTP AST3000 RTP
255 Mattson RTP AST3000 RTP
256 Mattson RTP AST3000 plus RTP
257 Mattson RTP Helios RTP
258 Mattson RTP Helios RTP
259 Mattson RTP Helios RTP
260 Mattson RTP Helios RTP
261 Mattson RTP Helios RTP
262 Mattson RTP Millios Millisecond Anneal System
263 Nanometrics Metrology Caliper Mosaic Overlay
264 Nanometrics Metrology Caliper Mosaic Overlay
265 Nanometrics Metrology Caliper Mosaic Overlay
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 9 sales@semistarcorp.com
266 Nanometrics Metrology NanoSpec 210 Metrology
267 Nanometrics Metrology Q200I BIORAD OVERLAY TOOL
268 Nanometrics Metrology Q200I BIORAD OVERLAY TOOL
269 Nanometrics Metrology Q300 Lithography Equipment - Other
270 Nanometrics Metrology Q300 -
271 Nanometrics Metrology Q300 -
272 Nanometrics Metrology Q300 -
273 Nikon Metrology OPTIPHOT 66 Microscope
274 Nikon Scanner NSR-S204B KrF Scanner
275 Nikon Scanner NSR-S204B KrF Scanner
276 Nikon Scanner NSR-S204B KrF Scanner
277 Nikon Scanner NSR-S205C KrF Scanner
278 Nikon Scanner NSR-S609B Immersion
279 Nikon Stepper NES1-H04 Mini stepper
280 Nikon Stepper NSR-2005i10C i-Line
281 Nikon Stepper NSR-2005i9C i-Line
282 Nikon Stepper NSR-2005i9C i-Line
283 Nikon Stepper NSR-2205EX12B KrF
284 Nikon Stepper NSR-2205EX14C KrF
285 Nikon Stepper NSR-2205i11D i-Line
286 Nikon Stepper NSR-2205i14E i-Line
287 Nikon Stepper NSR-SF120 i-Line
288 Nikon Stepper NSR-SF130 i-Line
289 Nikon Stepper NSR-TFH EX14C KrF Stepper
290 Nissin Implant Exceed 2300AH Medium Current Implanter
291 Nissin Implant Exceed 3000AH MEDIUM CURRENT IMPLANTER
292 Nissin Implant Exceed 3000AH MEDIUM CURRENT IMPLANTER
293 Novellus Asher Gamma2130 Asher
294 Novellus CVD C2 Speed Shrink HDP
295 Novellus CVD C2 Speed Shrink HDP
296 Novellus CVD C3 Speed NeXT HDP
297 Novellus CVD Vector
LAM VECTOR [ALPHA CARBON
HM]
298 Novellus CVD Vector Oxide / Nitride PEVD
299 Novellus CVD Vector SiO2/SiN, BL ARC
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 10 sales@semistarcorp.com
300 Novellus CVD Vector Express PESiN/PETEOS
301 Novellus CVD Vector Extreme CVD
302 Novellus PVD Inova Al Stack Metal
303 Novellus PVD Inova PVD
304 Novellus PVD Inova Ta Barrier / Cu Seed Deposition
305 Novellus PVD Inova TFM_TiN-HM Dep
306 Novellus PVD Inova Chamber 300MM PVD
307 Novellus PVD Inova Chamber 300MM PVD
308 Novellus PVD Inova NExT Al Stack Meta
309 Novellus PVD Inova NExT Sputter Dep system
310 Novellus PVD Inova XT -
311 Novellus WET Sabre XT Electro Copper Plating
312 Novellus WET Sabre XT Electro Copper Plating
313 NuFlare Metrology EBM-9500 Photomask Writer
314 Olympus Metrology BHMJL Microscope
315 Olympus Metrology BHMJL Microscope
316 PSK Asher Tera 21 Asher
317 PSK Asher Tera 21 PR Ashing
318 Rigaku Metrology SYS3630
X-ray, composition and thickness
measurements
319 Rigaku Metrology TXRF V310 VPD-TXRF-FEOL
320 Rudolph Metrology 3Di8500 Wafer Inspection
321 Rudolph Metrology Axi-S Macro inspection
322 Rudolph Metrology Axi-S Macro inspection
323 Rudolph Metrology Axi-S Macro Inspection
324 Rudolph Metrology Axi-S Macro inspection
325 Rudolph Metrology NSX105 Macro Inspection
326 Rudolph Metrology NSX105 Macro Inspection
327 Rudolph Metrology NSX105C Macro Inspection
328 Rudolph Metrology WV320 Macro Defect inspection
329 Rudolph Metrology WV320 Macro Defect inspection
330 Rudolph Metrology WV320 Macro Defect inspection
331 Rudolph Metrology WV320 Macro Defect inspection
332 Rudolph Metrology WV320 Macro Defect inspection
333 Rudolph Metrology WV320 Macro Defect inspection
334 Rudolph Metrology WV320 Macro inspection
335 Rudolph Metrology WV320 Macro inspection
336 Rudolph Metrology WV320 Macro inspection
337 Rudolph Metrology WV320 Macro inspection
338 Rudolph Metrology WV320 Macro inspection
339 Rudolph Metrology WV320 Macro inspection
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 11 sales@semistarcorp.com
340 Rudolph Metrology WV320 Macro inspection
341 SCREEN CMP AS2000 [Part]AS2000
342 SCREEN CMP AS2000 AS2000
343 SCREEN CMP AS2000 Oxide
344 SCREEN Track 80B DUV / MUV COT/DEV
345 SCREEN Track 80B DUV / MUV COT/DEV
346 SCREEN Track DT-3000
SOH Coater, Resist/Poly Spin
coater
347 SCREEN Track DT-3000 SOHCoat_LIT
348 SCREEN Track DT-3000 Sokudo Track
349 SCREEN Track RF-300A COT/DEV
350 SCREEN Track RF-300A i-Line Track
351 SCREEN Track RF-300A i-Line Track
352 SCREEN Track RF-300A i-Line Track
353 SCREEN Track RF-300A Photoresist
354 SCREEN Track SS-3000-A SCRUBBER
355 SCREEN Track SS-3000-A SCRUBBER
356 SCREEN Track SS-3000-A SCRUBBER
357 SCREEN Track SS-3000-A SCRUBBER
358 SCREEN Track SS-3000-A SCRUBBER
359 SCREEN Track SS-3000-AR SCRUBBER
360 SCREEN Track SS-3000-AR SCRUBBER
361 SCREEN Track SS-3000-AR SCRUBBER
362 SCREEN Track SS-3000-AR SCRUBBER
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 12 sales@semistarcorp.com
363 SCREEN Track SS-3100 -
364 SCREEN Track SS-W80A-A SCRUBBER
365 SCREEN WET FC-3000 Wet Clean / Strip
366 SCREEN WET FC-3000 Wet Clean / Strip
367 SCREEN WET FC-3000 Wet Clean / Strip
368 SCREEN WET FC-3100 Wet Cleans
369 SCREEN WET SU-3100 CLN
370 SCREEN WET SU-3100 SINGLE_DSP
371 SCREEN WET SU-3100 Wafer Cleaning System
372 SCREEN WET SU-3100 Wafer Cleaning System
373 SCREEN WET SU-3100 Wafer Cleaning System
374 SCREEN WET SU-3100 Wafer Cleaning System
375 SCREEN WET SU-3100 Wafer Cleaning System
376 SCREEN WET SU-3100 Wafer Cleaning System
377 SCREEN WET SU-3100 Wafer Cleaning System
378 SCREEN WET SU-3100 Wafer Cleaning System
379 SCREEN WET SU-3200 Wafer Cleaning System
380 SCREEN WET WS-820C Wet Etching
381 SCREEN WET WS-820L WET
382 Semitool WET Raider Cu Electroplater
383 Semitool WET Raider
Cu Plating, Electro-Chemical
Depostion
384 Semitool WET Raider ECD ECD[CMP_COPPERPLATE_BEOL]
385 Semitool WET Raider ECD
ECD[CMP_COPPERPLATE_BEOL
_DEV]
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 13 sales@semistarcorp.com
386 Semitool WET Raider ECD Electroplating
387 Semitool WET Raider ECD310
AMAT RAIDER ECD310 [COPPER
SN AG PLATE]
388 Semitool WET Raider ECD310
Copper Plating - Electro-Chemical
Deposition
389 Semitool WET Raider ECD310 Electroplating
390 Semitool WET Raider ECD314 METAL
391 SEZ WET SP201 Running in fab
392 Shibaura Etch Allegro CDE300 CDE
393 Shibaura Etch ICE/CDE300 Isotropic Chemical Dry Etch
394 Surftens Metrology Measurement Metrology
395
SUSSMicroT
ec
Stepper MA-150 Mask Aligner
396
SUSSMicroT
ec
Stepper MA200 Aligner
397
SUSSMicroT
ec
Stepper MA200 Aligner
398
SUSSMicroT
ec
Stepper MA200 Mask Aligner
399 SVG Furnace 5204 Diffusion
400 SVG Furnace 5204 Diffusion
401 TEL CVD Trias ALD TiN
402 TEL CVD Trias CVD Ti
403 TEL CVD Trias CVD Ti
404 TEL CVD Trias CVD Ti
405 TEL CVD Trias CVD Ti
406 TEL CVD Trias CVD Ti
407 TEL CVD Trias CVD TiN
408 TEL CVD Trias
Liner/Barrier - Ru CVD, iPVD TaN,
iPVD Cu
409 TEL CVD Trias Metal
410 TEL CVD Trias UV Cure
411 TEL CVD Trias SPA CVD
412 TEL CVD Trias SPA CVD
413 TEL Etch Tactras DTMO
414 TEL Etch Tactras Vigus ILDEtch
415 TEL Etch Tactras Vigus ILDEtch_HM
416 TEL Etch Tactras Vigus LK2 BEoL
417 TEL Etch Tactras Vigus Tactrax RLSA
418 TEL Etch Tactras Vigus
TEL TACTRAS Vigus (LK3 MoL
with gas/bias pulsing) (warehouse)
419 TEL Etch Tactras Vigus Via Etch
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 14 sales@semistarcorp.com
420 TEL Etch Telius -
421 TEL Etch Telius SCCM Oxide
422 TEL Etch Telius SCCM Jin Oxide
423 TEL Etch
Telius SCCM
Shin
Oxide
424 TEL Etch
Telius SCCM
Shin
Oxide
425 TEL Etch Telius SP 304 Poly Etcher
426 TEL Etch Telius SP 304 Poly etcher
427 TEL Etch
Telius SP 305
SCCM TE
-
428 TEL Etch Telius SP 308SS
SCCM(4CH)/TELIUS(IBMPAIDTOO
L)[ETC_RIE_DTSI_DT]
429 TEL Etch Unity2e 855SS Oxide
430 TEL Etch Unity2e 855SS Oxide
431 TEL Etch Unity2e 855SS Oxide
432 TEL Etch Unity2e 85ADI Oxide
433 TEL Etch Unity2e 85DI Oxide
434 TEL Furnace Alpha-303i DCS-HTO
435 TEL Furnace Alpha-303i HSQ Anneal
436 TEL Furnace Alpha-303i LP P-doped Poly
437 TEL Furnace Alpha-303i LP P-doped Poly
438 TEL Furnace Alpha-303i LP P-doped Poly
439 TEL Furnace Alpha-303i LP P-doped Poly
440 TEL Furnace Alpha-303i LP-SOG-Cure
441 TEL Furnace Alpha-303i PIQ
442 TEL Furnace Alpha-303i Poly Cure
443 TEL Furnace Alpha-303i-K HTO/SiN
444 TEL Furnace Alpha-303i-K MTO
445 TEL Furnace Alpha-303i-K MTO
446 TEL Furnace Alpha-303i-K MTO
447 TEL Furnace Alpha-303i-K Poly
448 TEL Furnace Alpha-303i-K Poly
449 TEL Furnace Alpha-303i-K Poly
450 TEL Furnace Indy -
451 TEL Furnace Indy -
452 TEL Furnace Indy -
453 TEL Furnace Indy-A DCS Nit
454 TEL Furnace Indy-A DCS Nit
455 TEL Furnace Indy-B DIFF
456 TEL PVD MarkIV Metal sputter
457 TEL PVD MBB-830 METAL
458 TEL Track ACT8 Dual COT/DEV
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 15 sales@semistarcorp.com
459 TEL Track ACT8 Dual COT/DEV
460 TEL Track ACT8 Dual COT/DEV
461 TEL Track ACT8 Single COT/DEV
462 TEL Track ACT8 Single COT/DEV
463 TEL Track ACT8 Single COT/DEV
464 TEL Track LITHIUS Arf
465 TEL Track LITHIUS COT/DEV
466 TEL Track LITHIUS COT/DEV
467 TEL Track LITHIUS COT/DEV
468 TEL Track LITHIUS COT/DEV
469 TEL Track LITHIUS COT/DEV
470 TEL Track LITHIUS COT/DEV
471 TEL Track LITHIUS COT/DEV
472 TEL Track LITHIUS COT/DEV
473 TEL Track LITHIUS COT/DEV
474 TEL Track LITHIUS COT/DEV
475 TEL Track LITHIUS COT/DEV
476 TEL Track LITHIUS COT/DEV
477 TEL Track LITHIUS COT/DEV
478 TEL Track LITHIUS COT/DEV
479 TEL Track LITHIUS COT/DEV
480 TEL Track LITHIUS COT/DEV
481 TEL Track LITHIUS COT/DEV
482 TEL Track LITHIUS COT/DEV
483 TEL Track LITHIUS COT/DEV
484 TEL Track LITHIUS COT/DEV
485 TEL Track LITHIUS COT/DEV
486 TEL Track LITHIUS COT/DEV
487 TEL Track LITHIUS Photoresist Coat Track
488 TEL Track LITHIUS Photoresist Coater
489 TEL Track LITHIUS Resist Coat/Develop
490 TEL Track LITHIUS -
491 TEL Track LITHIUS Pro -
492 TEL Track LITHIUS Pro V -
493 TEL Track LITHIUS Pro-i Lithography ARFi
494 TEL Track NS300 SCRUBBER
495 TEL WET Cellesta-i CLN
496 TEL WET EXPEDIUS SP1/SPM
497 Ulvac PVD Ceraus ZX-1000 PVD
498 Ulvac PVD Ceraus ZX-1000 PVD
499 Ulvac PVD Ceraus ZX-1000 PVD
500 Ulvac PVD Ceraus ZX-1000 PVD
501 Ulvac PVD Entron EX PVD
Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com
ID-5684 16 sales@semistarcorp.com
502 Ulvac PVD Entron EX W300 PVD
503 Ulvac PVD Entron EX W300 PVD
504 Ulvac PVD Entron EX W300 PVD
505 Ulvac PVD Entron EX W300 PVD
506 Ulvac PVD Entron EX W300 PVD
507 Ulvac PVD Entron EX W300 PVD
508 UNAXIS PVD LLS900 PVD
509 Varian PVD 3290 PVD
510 Veeco Metrology Dimension X3D AFM
511 WONIK IPS CVD MAHA SP PTEOS

More Related Content

What's hot

Pcb design best practices for more reliable manufacturing
Pcb design best practices for more reliable manufacturingPcb design best practices for more reliable manufacturing
Pcb design best practices for more reliable manufacturingScreaming Circuits
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Yole Developpement
 
Status of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementStatus of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementYole Developpement
 
Introduction to stress corrosion cracking and nace material requirements
Introduction to stress corrosion cracking and nace material requirementsIntroduction to stress corrosion cracking and nace material requirements
Introduction to stress corrosion cracking and nace material requirementsMahendra Prabhu S
 
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...Yole Developpement
 
Easiest Monolithic 3D IC
Easiest Monolithic 3D ICEasiest Monolithic 3D IC
Easiest Monolithic 3D ICZvi Or-Bach
 
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURINGALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURINGJonas Sundqvist
 
Pad design and process for voiding control at QFN assembly
Pad design and process for voiding control at QFN assemblyPad design and process for voiding control at QFN assembly
Pad design and process for voiding control at QFN assemblynclee715
 
Transit stray current western region gas conference tempe 8.27.08
Transit stray current western region gas conference tempe 8.27.08Transit stray current western region gas conference tempe 8.27.08
Transit stray current western region gas conference tempe 8.27.08JORGE RODRIGUEZ Jrodriguezj2006
 
Asml 20070914 2007 09 14 Db London Sept 14
Asml 20070914 2007 09 14 Db London Sept 14Asml 20070914 2007 09 14 Db London Sept 14
Asml 20070914 2007 09 14 Db London Sept 14angsikod
 
ALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingJonas Sundqvist
 
Status of the Power Module Packaging Industry 2019 - Yole Développement
Status of the Power Module Packaging Industry 2019 - Yole DéveloppementStatus of the Power Module Packaging Industry 2019 - Yole Développement
Status of the Power Module Packaging Industry 2019 - Yole DéveloppementYole Developpement
 
Understanding the-criticality-of-stencil-aperture-design-and-implementation-f...
Understanding the-criticality-of-stencil-aperture-design-and-implementation-f...Understanding the-criticality-of-stencil-aperture-design-and-implementation-f...
Understanding the-criticality-of-stencil-aperture-design-and-implementation-f...Greg Caswell
 
Broadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiDBroadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiDsystem_plus
 
從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1CHENHuiMei
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Yole Developpement
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Yole Developpement
 

What's hot (20)

Pcb design best practices for more reliable manufacturing
Pcb design best practices for more reliable manufacturingPcb design best practices for more reliable manufacturing
Pcb design best practices for more reliable manufacturing
 
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
Thin wafer processing and Dicing equipment market - 2016 Report by Yole Devel...
 
Status of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole DéveloppementStatus of Advanced Substrates 2019 report by Yole Développement
Status of Advanced Substrates 2019 report by Yole Développement
 
Introduction to stress corrosion cracking and nace material requirements
Introduction to stress corrosion cracking and nace material requirementsIntroduction to stress corrosion cracking and nace material requirements
Introduction to stress corrosion cracking and nace material requirements
 
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
RF GaN Market: Applications, Players, Technology and Substrates 2019 report b...
 
Asml Euv Use Forecast
Asml Euv Use ForecastAsml Euv Use Forecast
Asml Euv Use Forecast
 
Easiest Monolithic 3D IC
Easiest Monolithic 3D ICEasiest Monolithic 3D IC
Easiest Monolithic 3D IC
 
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURINGALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
ALD/CVD APPLICATIONS, EQUIPMENT AND PRECURSORS IN HIGH VOLUME MANUFACTURING
 
Pad design and process for voiding control at QFN assembly
Pad design and process for voiding control at QFN assemblyPad design and process for voiding control at QFN assembly
Pad design and process for voiding control at QFN assembly
 
Transit stray current western region gas conference tempe 8.27.08
Transit stray current western region gas conference tempe 8.27.08Transit stray current western region gas conference tempe 8.27.08
Transit stray current western region gas conference tempe 8.27.08
 
Asml 20070914 2007 09 14 Db London Sept 14
Asml 20070914 2007 09 14 Db London Sept 14Asml 20070914 2007 09 14 Db London Sept 14
Asml 20070914 2007 09 14 Db London Sept 14
 
ALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturingALD/CVD applications, equipment and precursors in high volume manufacturing
ALD/CVD applications, equipment and precursors in high volume manufacturing
 
Status of the Power Module Packaging Industry 2019 - Yole Développement
Status of the Power Module Packaging Industry 2019 - Yole DéveloppementStatus of the Power Module Packaging Industry 2019 - Yole Développement
Status of the Power Module Packaging Industry 2019 - Yole Développement
 
Semiconductor Industry Tutorial
Semiconductor Industry TutorialSemiconductor Industry Tutorial
Semiconductor Industry Tutorial
 
Understanding the-criticality-of-stencil-aperture-design-and-implementation-f...
Understanding the-criticality-of-stencil-aperture-design-and-implementation-f...Understanding the-criticality-of-stencil-aperture-design-and-implementation-f...
Understanding the-criticality-of-stencil-aperture-design-and-implementation-f...
 
Broadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiDBroadcom AFEM8200 MBHB PAMiD
Broadcom AFEM8200 MBHB PAMiD
 
從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1從封測產業趨勢談設備需求與機會_ part1
從封測產業趨勢談設備需求與機會_ part1
 
Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...Growth of advanced packaging - What make it so special? Presentation by Rozal...
Growth of advanced packaging - What make it so special? Presentation by Rozal...
 
CREEP of METALS
CREEP of METALSCREEP of METALS
CREEP of METALS
 
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
Fan-Out and Embedded Die: Technologies & Market Trends 2015 Report by Yole De...
 

Similar to Semicoductor equipment may 23th 2020 id-5684

Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Emily Tan
 
Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Emily Tan
 
Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Emily Tan
 
Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List Emily Tan
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi starEmily Tan
 
LeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPicLeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPicIstvan Fekete
 
Semiconductor equipment may 20th 2020 3
Semiconductor equipment may 20th 2020  3Semiconductor equipment may 20th 2020  3
Semiconductor equipment may 20th 2020 3Emily Tan
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corpEmily Tan
 
Semistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202CSemistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202CEmily Tan
 
semiconductor equipment and spare parts AMAT
semiconductor equipment and spare parts AMATsemiconductor equipment and spare parts AMAT
semiconductor equipment and spare parts AMATEmily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Emily Tan
 
Update 11 models in SPICE PARK(DEC2018)
Update 11 models in SPICE PARK(DEC2018)Update 11 models in SPICE PARK(DEC2018)
Update 11 models in SPICE PARK(DEC2018)Tsuyoshi Horigome
 
Semiconductor chiller may 20th 2020
Semiconductor chiller may 20th 2020Semiconductor chiller may 20th 2020
Semiconductor chiller may 20th 2020Emily Tan
 
Update 10 models in SPICE PARK(MAY2018)
Update 10 models in SPICE PARK(MAY2018) Update 10 models in SPICE PARK(MAY2018)
Update 10 models in SPICE PARK(MAY2018) Tsuyoshi Horigome
 
SPICE PARK JUN2016 (4,525 Models)
SPICE PARK JUN2016  (4,525 Models)SPICE PARK JUN2016  (4,525 Models)
SPICE PARK JUN2016 (4,525 Models)Tsuyoshi Horigome
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment InventoryEmily Tan
 
スパイス・パークの全リスト(2013年10月度)
スパイス・パークの全リスト(2013年10月度)スパイス・パークの全リスト(2013年10月度)
スパイス・パークの全リスト(2013年10月度)Tsuyoshi Horigome
 
SPICE PARK ALL List OCT2015(4,432 Models)
SPICE PARK ALL List OCT2015(4,432 Models) SPICE PARK ALL List OCT2015(4,432 Models)
SPICE PARK ALL List OCT2015(4,432 Models) Tsuyoshi Horigome
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryEmily Tan
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Emily Tan
 

Similar to Semicoductor equipment may 23th 2020 id-5684 (20)

Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 
Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020
 
Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1
 
Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi star
 
LeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPicLeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPic
 
Semiconductor equipment may 20th 2020 3
Semiconductor equipment may 20th 2020  3Semiconductor equipment may 20th 2020  3
Semiconductor equipment may 20th 2020 3
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
 
Semistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202CSemistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202C
 
semiconductor equipment and spare parts AMAT
semiconductor equipment and spare parts AMATsemiconductor equipment and spare parts AMAT
semiconductor equipment and spare parts AMAT
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Update 11 models in SPICE PARK(DEC2018)
Update 11 models in SPICE PARK(DEC2018)Update 11 models in SPICE PARK(DEC2018)
Update 11 models in SPICE PARK(DEC2018)
 
Semiconductor chiller may 20th 2020
Semiconductor chiller may 20th 2020Semiconductor chiller may 20th 2020
Semiconductor chiller may 20th 2020
 
Update 10 models in SPICE PARK(MAY2018)
Update 10 models in SPICE PARK(MAY2018) Update 10 models in SPICE PARK(MAY2018)
Update 10 models in SPICE PARK(MAY2018)
 
SPICE PARK JUN2016 (4,525 Models)
SPICE PARK JUN2016  (4,525 Models)SPICE PARK JUN2016  (4,525 Models)
SPICE PARK JUN2016 (4,525 Models)
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
スパイス・パークの全リスト(2013年10月度)
スパイス・パークの全リスト(2013年10月度)スパイス・パークの全リスト(2013年10月度)
スパイス・パークの全リスト(2013年10月度)
 
SPICE PARK ALL List OCT2015(4,432 Models)
SPICE PARK ALL List OCT2015(4,432 Models) SPICE PARK ALL List OCT2015(4,432 Models)
SPICE PARK ALL List OCT2015(4,432 Models)
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 

More from Emily Tan

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipmentEmily Tan
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentEmily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipmentEmily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipmentEmily Tan
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrumentEmily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipmentEmily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipmentEmily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipmentEmily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipmentEmily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEmily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEmily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMEmily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsEmily Tan
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor EquipmentEmily Tan
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on saleEmily Tan
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaEmily Tan
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaEmily Tan
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceEmily Tan
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Emily Tan
 
Tester Equipment Parts Inventory
Tester Equipment Parts InventoryTester Equipment Parts Inventory
Tester Equipment Parts InventoryEmily Tan
 

More from Emily Tan (20)

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd Source
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141
 
Tester Equipment Parts Inventory
Tester Equipment Parts InventoryTester Equipment Parts Inventory
Tester Equipment Parts Inventory
 

Recently uploaded

Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLScyllaDB
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebUiPathCommunity
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 3652toLead Limited
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piececharlottematthew16
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitecturePixlogix Infotech
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationSafe Software
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Commit University
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Mark Simos
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsSergiu Bodiu
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machinePadma Pradeep
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDGMarianaLemus7
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticscarlostorres15106
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024Scott Keck-Warren
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyAlfredo García Lavilla
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Scott Keck-Warren
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfAlex Barbosa Coqueiro
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentationphoebematthew05
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brandgvaughan
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024Lorenzo Miniero
 

Recently uploaded (20)

Developer Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQLDeveloper Data Modeling Mistakes: From Postgres to NoSQL
Developer Data Modeling Mistakes: From Postgres to NoSQL
 
Dev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio WebDev Dives: Streamline document processing with UiPath Studio Web
Dev Dives: Streamline document processing with UiPath Studio Web
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
 
Story boards and shot lists for my a level piece
Story boards and shot lists for my a level pieceStory boards and shot lists for my a level piece
Story boards and shot lists for my a level piece
 
Understanding the Laravel MVC Architecture
Understanding the Laravel MVC ArchitectureUnderstanding the Laravel MVC Architecture
Understanding the Laravel MVC Architecture
 
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry InnovationBeyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
Beyond Boundaries: Leveraging No-Code Solutions for Industry Innovation
 
Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!Nell’iperspazio con Rocket: il Framework Web di Rust!
Nell’iperspazio con Rocket: il Framework Web di Rust!
 
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
Tampa BSides - Chef's Tour of Microsoft Security Adoption Framework (SAF)
 
DevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platformsDevEX - reference for building teams, processes, and platforms
DevEX - reference for building teams, processes, and platforms
 
Install Stable Diffusion in windows machine
Install Stable Diffusion in windows machineInstall Stable Diffusion in windows machine
Install Stable Diffusion in windows machine
 
APIForce Zurich 5 April Automation LPDG
APIForce Zurich 5 April  Automation LPDGAPIForce Zurich 5 April  Automation LPDG
APIForce Zurich 5 April Automation LPDG
 
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmaticsKotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
Kotlin Multiplatform & Compose Multiplatform - Starter kit for pragmatics
 
SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024SQL Database Design For Developers at php[tek] 2024
SQL Database Design For Developers at php[tek] 2024
 
Commit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easyCommit 2024 - Secret Management made easy
Commit 2024 - Secret Management made easy
 
Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024Advanced Test Driven-Development @ php[tek] 2024
Advanced Test Driven-Development @ php[tek] 2024
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Unraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdfUnraveling Multimodality with Large Language Models.pdf
Unraveling Multimodality with Large Language Models.pdf
 
costume and set research powerpoint presentation
costume and set research powerpoint presentationcostume and set research powerpoint presentation
costume and set research powerpoint presentation
 
WordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your BrandWordPress Websites for Engineers: Elevate Your Brand
WordPress Websites for Engineers: Elevate Your Brand
 
SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024SIP trunking in Janus @ Kamailio World 2024
SIP trunking in Janus @ Kamailio World 2024
 

Semicoductor equipment may 23th 2020 id-5684

  • 1. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 1 sales@semistarcorp.com 1 AIMS Metrology AIMS 32 Phtotomask Repair System 2 AMAT CMP Reflexion Desica CMP 3 AMAT CVD Centura AP UltimaX IMD FSG/USG HDP 4 AMAT CVD Centura AP UltimaX IMD FSG/USG HDP 5 AMAT CVD Centura AP UltimaX IMD FSG/USG HDP 6 AMAT CVD Centura AP UltimaX IMD FSG/USG HDP 7 AMAT CVD Centura AP UltimaX STI HDP 8 AMAT CVD Olympia SiN, LowK, ALD 9 AMAT CVD P5000 LTO CVD, TEOS 10 AMAT CVD P5000 LTO CVD, TEOS 11 AMAT CVD P5000 LTO CVD, TEOS 12 AMAT CVD P5000 PECVD 13 AMAT CVD P5000 TEOS 14 AMAT CVD P5000 TEOS 15 AMAT CVD Producer PESiH4 16 AMAT CVD Producer GT Chamber CVD 17 AMAT CVD Producer GT Chamber CVD, BloK, CVD 18 AMAT CVD Producer GT Chamber CVD, ULK, CVD 19 AMAT CVD Producer GT Chamber Low K 20 AMAT CVD Producer GT Chamber TOPAZ, CVD 21 AMAT CVD Producer Manual Cassette Loader - 22 AMAT CVD Producer SE ACL 23 AMAT CVD Producer SE ACL 24 AMAT CVD Producer SE CVD 25 AMAT CVD Producer SE HT-SiN 26 AMAT CVD Producer SE Low K(BD2) 27 AMAT CVD Producer SE LowK(BD) 28 AMAT CVD Producer SE LowK, BD2 29 AMAT CVD Producer SE PETEOS 30 AMAT CVD Producer SE SA BPSG 31 AMAT CVD Producer SE U-LowK Dielectric 32 AMAT CVD Producer SE - 33 AMAT CVD Producer SE Chamber TFM_U-LowK Dielectric
  • 2. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 2 sales@semistarcorp.com 34 AMAT CVD Producer SE Chamber U-LowK Dielectric 35 AMAT Etch Centura AP Frame 4 Chambers BitLineEtch ----> Harold: 2x chambers 36 AMAT Etch Centura DPS Gate Etch 37 AMAT Etch Centura DPS2 AdvantEdge G5 Mesa Metal 38 AMAT Etch Centura DPS2 G5 W Bitline Etch 39 AMAT Etch Centura DPS2 Metal Metal 40 AMAT Etch Centura DPS2 Metal Metal 41 AMAT Etch Centura DPS2 Poly Poly 42 AMAT Etch Centura DPS2 Poly Poly 43 AMAT Etch Centura DPS2 Poly Poly 44 AMAT Etch Centura eMax CT+ ETCH 45 AMAT Etch Centura eMax CT+ ETCH 46 AMAT Etch Centura eMax CT+ Oxide 47 AMAT Etch Centura Enabler AMAT CENTURA ENABLER 4CH DFB [ILD ETCH SICOH] 48 AMAT Etch Centura Enabler Oxide 49 AMAT Etch Centura Enabler Oxide Etcher 50 AMAT Etch Centura Enabler E2 Oxide 51 AMAT Etch Producer GT FRONTIER etch 52 AMAT Etch Producer GT FRONTIER etch 53 AMAT Etch Producer GT FRONTIER etch 54 AMAT Etch Producer GT FRONTIER etch 55 AMAT Metrology DFinder2 Defect Inspection 56 AMAT Metrology DFinder2 Defect Inspection 57 AMAT Metrology DFinder2 Defect Inspection 58 AMAT Metrology DFinder2 Defect Inspection 59 AMAT Metrology NanoSEM 3D CD Metrology 60 AMAT Metrology NanoSEM 3D CD Metrology 61 AMAT Metrology NanoSEM 3D CD-SEM 62 AMAT Metrology NanoSEM 3D Scanning Electron, CDSEM Measurement
  • 3. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 3 sales@semistarcorp.com 63 AMAT Metrology NanoSEM 3D Scanning Electron, CDSEM Measurement 64 AMAT Metrology NanoSEM 3D Scanning Electron, CDSEM Measurement 65 AMAT Metrology Orbot WF720 Metrology 66 AMAT Metrology SEMVision CX Defect Review 67 AMAT Metrology UVision 4 Bright field inspection 68 AMAT Metrology UVision 5 BFIUV5_Brightfield_AMAT 69 AMAT Metrology UVision 5 BFIUV5_Brightfield_AMAT 70 AMAT PVD Endura 2 METAL 71 AMAT PVD Endura 2 MoCVD 72 AMAT PVD Endura 2 Chamber Al Chamber, AL 73 AMAT PVD Endura 2 Chamber CHAMBER, CVD ALD TiN 74 AMAT PVD Endura 2 Chamber CVD Co Chambers, VOLTA 75 AMAT PVD Endura 2 Chamber PVD Ti Chamber 76 AMAT PVD Endura 2 Chamber - 77 AMAT PVD Endura CL METAL 78 AMAT PVD Endura CL PVD 79 AMAT PVD Endura CL Chamber PVD Chamber 80 AMAT RTP AMC7800RPX EPI 81 AMAT RTP AMC7811 EPI 82 AMAT RTP AMC7821 EPI 83 AMAT RTP AMC7821 EPI 84 AMAT RTP Vantage Vulcan RTP 85 AMAT RTP Vantage Vulcan RTP 86 ASM CVD Dragon 2300 PECVD Equipment for Barrier 87 ASM CVD Eagle XP8 ASM Europe B.V. EAGLE XP8 88 ASM CVD Eagle XP8 ASM Europe B.V. EAGLE XP8 (warehouse) 89 ASM CVD Eagle10 DARC 90 ASM CVD Eagle10 PETEOS 91 ASM CVD Eagle12 Curing 92 ASM CVD Eagle12 CVD 93 ASM CVD EMERALD XP4 - 94 ASM CVD Epsilon 3200 Single Chamber EPI deposition system 95 ASM Furnace A412 (IBMPAIDTOOL)
  • 4. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 4 sales@semistarcorp.com 96 ASM Furnace A412 (IBMPAIDTOOL) 97 ASM Furnace A412 LPCVD SiN & SiN 98 ASML Scanner AT1200 193nm, Scanner 99 ASML Scanner AT850C PHOTO 100 ASML Scanner AT850T KrF 101 ASML Scanner NXT1950i Lithography 102 ASML Scanner XT1250B Lithography ARF 103 ASML Scanner XT1250D Lithography 104 ASML Scanner XT1700FI Immersion 105 ASML Scanner XT760F Krf Twinscan 106 Axcelis Asher Microlite Lithography 107 Axcelis Etch Integra - 108 Axcelis Etch Integra - 109 Axcelis Track RapidCure 320FC RPC 110 Axcelis Track RapidCure 320FC UV anneal Unit 111 BMR Etch ICP Etcher ICP Etch 112 BMR Etch ICP Etcher ICP Etch 113 Bruker Metrology D8 DISCOVER X-Ray Metrology 114 Bruker Metrology D8FABLINE X-ray 115 Bruker Metrology D8FABLINE XRD 116 Bruker Metrology InSight 3D-DR Mask House Photomask Inspection 117 Canon Scanner FPA-5000ES3 KrF 118 Canon Scanner FPA-5000ES3 KrF 119 Canon Scanner FPA-6000ES6a KrF, 90nm 120 Canon Scanner FPA-6000ES6a KrF, 90nm 121 Canon Scanner FPA-6000ES6a KrF, 90nm 122 Canon Stepper FPA-5500iZ i-Line 123 Canon Stepper FPA-5500iZ i-Line 124 Canon Stepper FPA-5500iZ i-Line 125 Canon Stepper FPA-5500iZ+ i-Line 126 Canon Anelva PVD C-7100GT Canon and Anelva xT with 2PVD chambers 127 Canon Anelva PVD C-7100GT - 128 Canon Anelva PVD FC7100 PVD 129 Cymer Scanner ELS-5305 Cymer Laser 130 Cymer Scanner ELS-5305 Cymer Laser 131 Cymer Scanner ELS-5400 KrF Laser 132 EBARA CMP EPO-222 WCMP 133 EBARA CMP EPO-222A W
  • 5. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 5 sales@semistarcorp.com 134 EBARA CMP EPO-223 W 135 EBARA CMP EPO-223 W 136 EBARA CMP EPO-223 W 137 EBARA CMP F-REX200 CMP 138 EBARA CMP F-REX200 STI 139 EBARA CMP F-REX200 Tungsten 140 EBARA CMP F-REX300S CMP, Chemical Mechanical Polish 141 EBARA CMP F-REX300S CMP, Chemical Mechanical Polish 142 EBARA CMP F-REX300S CMP, Chemical Mechanical Polish 143 EBARA CMP F-REX300S EFEM,Oxide CMP 144 EBARA CMP F-REX300S W 145 EBARA CMP F-REX300S W 146 EBARA CMP F-REX300S W 147 EBARA CMP F-REX300S WCMP 148 EBARA CMP F-REX300S2 CMP 149 FEI Metrology ExSolve 2 WTP EFEM High Accuracy FIB 150 FEI Metrology Strata FIB 205 Focused Ion Beam Microscope 151 FEI Metrology Tecnai G2 F20 PFA 152 FEI Metrology V600 Single Beam FIB. 153 Fusion Track M150PCU Lithography 154 Fusion Track M150PCU Lithography 155 Fusion Track M150PCU Lithography 156 Gasonics Asher PEP Iridia Stripper/Asher 157 Gasonics Asher PEP Iridia Stripper/Asher 158 GES CVD CAYMAN PESiON 159 GES CVD CAYMAN PESiON 160 GES CVD CAYMAN PESiON 161 GES CVD CAYMAN PESiON 162 GES CVD CAYMAN PESiON 163 Hermes Microvision Metrology eP3 XP E-Beam Inspection System 164 Hermes Microvision Metrology eScan500 ebeam Inspection 165 Hitachi Etch DM421P Etch 166 Hitachi Metrology HD2300 STEM 167 Hitachi Metrology I6300 Dark field inspection 168 Hitachi Metrology I6300 Dark field inspection
  • 6. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 6 sales@semistarcorp.com 169 Hitachi Metrology IS2700 Dark Field inspection 170 Hitachi Metrology RS4000 DR SEM 171 Hitachi Metrology RS4000 DR SEM 172 Hitachi Metrology RS4000 DR SEM 173 Hitachi Metrology RS5000 DR SEM 174 Hitachi Metrology RS5000 DR SEM 175 Hitachi Metrology S-4800 FE SEM 176 Hitachi Metrology S-5200 FE SEM 177 Hitachi Metrology S-5200 SEM, Ultra High Resolution 178 Hitachi Metrology S-9380 CDSEM 179 Hitachi Metrology S-9380 CDSEM 180 Hitachi Metrology S-9380II CD SEM 181 Hitachi Metrology S-9380II CD SEM 182 Hitachi Kokusai Asher RAM-8500ZX Asher 183 Hitachi Kokusai Furnace DD-1206V-DF Gate OX 184 Hitachi Kokusai Furnace DD-1206V-DF LP SiN 185 Hitachi Kokusai Furnace DD-1206V-DF Oxide undope 186 Hitachi Kokusai Furnace DD-1206V-DF Oxide undope 187 Hitachi Kokusai Furnace DD-1206V-DF SIN-Undop 188 Hitachi Kokusai Furnace DD-1206V-DF SIN-Undop 189 Hitachi Kokusai Furnace DD-1206VN-DF Oxide undope 190 Hitachi Kokusai Furnace DD-1206VN-DF SIN-Undop 191 Hitachi Kokusai Furnace DD-1223V Anneal, Cobalt 192 Hitachi Kokusai Furnace DD-1223VN ALD-TiN 193 Hitachi Kokusai Furnace DD-1236VN-DF Low Temp Steam Anneal - for SOD Cure 194 Hitachi Kokusai Furnace DJ-1206VN-DM LPCVD SiN 195 Hitachi Kokusai Furnace DJ-1206VN-DM LPCVD SiN 196 Hitachi Kokusai Furnace DJ-1206VN-DM Specer Nitride,Smart batch 197 Hitachi Kokusai Furnace DJ-1236VN-DF ALD-TiN
  • 7. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 7 sales@semistarcorp.com 198 Hitachi Kokusai Furnace DJ-1236VN-DF TiN_ALD 199 Hitachi Kokusai Furnace Quixace LV Boron-Doped Poly 200 Hitachi Kokusai Furnace Quixace Ultimate ALDTiN 201 Horiba Metrology PR-PD2 Reticle/Mask Particle Detection System 202 JEOL Metrology ARM200CF Super X PFA 203 JEOL Metrology JEM3200FS TEM 204 Jordan Valley Metrology JVX6200i TFM_THK_JV 205 Jordan Valley Metrology JVX6200i TFM_THK_JV 206 KLA Metrology 3905 Broadband Plasma Patterned Wafer Inspection 207 KLA Metrology 3905 Broadband Plasma Patterned Wafer Inspection 208 KLA Metrology AIT Fusion Dark Field inspection 209 KLA Metrology AIT XUV Dark Field inspection 210 KLA Metrology Aleris CX Film thickness measurements 211 KLA Metrology Aleris HX8500 Film thickness measurements 212 KLA Metrology Archer 300 AIM Overlay 213 KLA Metrology Archer AIM Overlay Measurement 214 KLA Metrology Archer AIM Overlay Measurement 215 KLA Metrology Archer AIM MPX Overlay 216 KLA Metrology ASET-F5x Configulation 217 KLA Metrology ASET-F5x Thickness measurement 218 KLA Metrology EDR5210 Defect Review SEM 219 KLA Metrology EDR5210 Defect Review SEM 220 KLA Metrology Ergolux Metrology 221 KLA Metrology HRP-340 Profiler 222 KLA Metrology INM100+INS10 Metrology 223 KLA Metrology KLA5100 Metrology 224 KLA Metrology MPV CD2 AMC Metrology 225 KLA Metrology MPV CD2 AMC Metrology 226 KLA Metrology MPV-CD Metrology 227 KLA Metrology NANOMAPPER Nanotopography 228 KLA Metrology Puma 9000 Dark Field Inspection 229 KLA Metrology Puma 9130 Dark Field Inspection 230 KLA Metrology SFS7700 Particle Counter
  • 8. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 8 sales@semistarcorp.com 231 KLA Metrology SP1 DLS Particle counter 232 KLA Metrology Spectra CD XT Optical Scatterometry 233 KLA Metrology Surfscan 2.1 Particle Counter 234 Komatsu Scanner G20K2-1 KrF Laser 235 Komatsu Scanner G20K4-1 KrF Laser 236 Komatsu Scanner G20K4-1 KrF Laser 237 Komatsu Scanner G20K4-1 KrF Laser 238 Lam Etch 2300 Exelan Flex45 Oxide 239 Lam Etch R4600 Dry Etch-ASH tool (#5) 240 Lam Etch R4600B Dry Etch-ASH tool (#6) 241 Lam Etch Strip45 Chamber ETC 242 Lam Etch Strip45 Chamber ETC 243 Lam Etch Strip45 Chamber ETC 244 Lam Etch Strip45 Chamber ETC 245 LASERTEC Metrology BI100 EUV Reticle Back Side Inspection Tool 246 LASERTEC Metrology PEGSIS P100 Reticle Inspection Tool 247 Matsushita- Seiki Metrology M515 Pellicle Mount Photomask Pellicle Mounting 248 Matsushita- Seiki Metrology M777 Pellicle Demount Automated Pellicle Demounter 249 Mattson Asher Aspen 3 Lite LITE ETCHER 250 Mattson Etch ParadigmE Etch 251 Mattson Etch ParadigmE XP Light Etch 252 Mattson RTP AST3000 RTP 253 Mattson RTP AST3000 RTP 254 Mattson RTP AST3000 RTP 255 Mattson RTP AST3000 RTP 256 Mattson RTP AST3000 plus RTP 257 Mattson RTP Helios RTP 258 Mattson RTP Helios RTP 259 Mattson RTP Helios RTP 260 Mattson RTP Helios RTP 261 Mattson RTP Helios RTP 262 Mattson RTP Millios Millisecond Anneal System 263 Nanometrics Metrology Caliper Mosaic Overlay 264 Nanometrics Metrology Caliper Mosaic Overlay 265 Nanometrics Metrology Caliper Mosaic Overlay
  • 9. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 9 sales@semistarcorp.com 266 Nanometrics Metrology NanoSpec 210 Metrology 267 Nanometrics Metrology Q200I BIORAD OVERLAY TOOL 268 Nanometrics Metrology Q200I BIORAD OVERLAY TOOL 269 Nanometrics Metrology Q300 Lithography Equipment - Other 270 Nanometrics Metrology Q300 - 271 Nanometrics Metrology Q300 - 272 Nanometrics Metrology Q300 - 273 Nikon Metrology OPTIPHOT 66 Microscope 274 Nikon Scanner NSR-S204B KrF Scanner 275 Nikon Scanner NSR-S204B KrF Scanner 276 Nikon Scanner NSR-S204B KrF Scanner 277 Nikon Scanner NSR-S205C KrF Scanner 278 Nikon Scanner NSR-S609B Immersion 279 Nikon Stepper NES1-H04 Mini stepper 280 Nikon Stepper NSR-2005i10C i-Line 281 Nikon Stepper NSR-2005i9C i-Line 282 Nikon Stepper NSR-2005i9C i-Line 283 Nikon Stepper NSR-2205EX12B KrF 284 Nikon Stepper NSR-2205EX14C KrF 285 Nikon Stepper NSR-2205i11D i-Line 286 Nikon Stepper NSR-2205i14E i-Line 287 Nikon Stepper NSR-SF120 i-Line 288 Nikon Stepper NSR-SF130 i-Line 289 Nikon Stepper NSR-TFH EX14C KrF Stepper 290 Nissin Implant Exceed 2300AH Medium Current Implanter 291 Nissin Implant Exceed 3000AH MEDIUM CURRENT IMPLANTER 292 Nissin Implant Exceed 3000AH MEDIUM CURRENT IMPLANTER 293 Novellus Asher Gamma2130 Asher 294 Novellus CVD C2 Speed Shrink HDP 295 Novellus CVD C2 Speed Shrink HDP 296 Novellus CVD C3 Speed NeXT HDP 297 Novellus CVD Vector LAM VECTOR [ALPHA CARBON HM] 298 Novellus CVD Vector Oxide / Nitride PEVD 299 Novellus CVD Vector SiO2/SiN, BL ARC
  • 10. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 10 sales@semistarcorp.com 300 Novellus CVD Vector Express PESiN/PETEOS 301 Novellus CVD Vector Extreme CVD 302 Novellus PVD Inova Al Stack Metal 303 Novellus PVD Inova PVD 304 Novellus PVD Inova Ta Barrier / Cu Seed Deposition 305 Novellus PVD Inova TFM_TiN-HM Dep 306 Novellus PVD Inova Chamber 300MM PVD 307 Novellus PVD Inova Chamber 300MM PVD 308 Novellus PVD Inova NExT Al Stack Meta 309 Novellus PVD Inova NExT Sputter Dep system 310 Novellus PVD Inova XT - 311 Novellus WET Sabre XT Electro Copper Plating 312 Novellus WET Sabre XT Electro Copper Plating 313 NuFlare Metrology EBM-9500 Photomask Writer 314 Olympus Metrology BHMJL Microscope 315 Olympus Metrology BHMJL Microscope 316 PSK Asher Tera 21 Asher 317 PSK Asher Tera 21 PR Ashing 318 Rigaku Metrology SYS3630 X-ray, composition and thickness measurements 319 Rigaku Metrology TXRF V310 VPD-TXRF-FEOL 320 Rudolph Metrology 3Di8500 Wafer Inspection 321 Rudolph Metrology Axi-S Macro inspection 322 Rudolph Metrology Axi-S Macro inspection 323 Rudolph Metrology Axi-S Macro Inspection 324 Rudolph Metrology Axi-S Macro inspection 325 Rudolph Metrology NSX105 Macro Inspection 326 Rudolph Metrology NSX105 Macro Inspection 327 Rudolph Metrology NSX105C Macro Inspection 328 Rudolph Metrology WV320 Macro Defect inspection 329 Rudolph Metrology WV320 Macro Defect inspection 330 Rudolph Metrology WV320 Macro Defect inspection 331 Rudolph Metrology WV320 Macro Defect inspection 332 Rudolph Metrology WV320 Macro Defect inspection 333 Rudolph Metrology WV320 Macro Defect inspection 334 Rudolph Metrology WV320 Macro inspection 335 Rudolph Metrology WV320 Macro inspection 336 Rudolph Metrology WV320 Macro inspection 337 Rudolph Metrology WV320 Macro inspection 338 Rudolph Metrology WV320 Macro inspection 339 Rudolph Metrology WV320 Macro inspection
  • 11. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 11 sales@semistarcorp.com 340 Rudolph Metrology WV320 Macro inspection 341 SCREEN CMP AS2000 [Part]AS2000 342 SCREEN CMP AS2000 AS2000 343 SCREEN CMP AS2000 Oxide 344 SCREEN Track 80B DUV / MUV COT/DEV 345 SCREEN Track 80B DUV / MUV COT/DEV 346 SCREEN Track DT-3000 SOH Coater, Resist/Poly Spin coater 347 SCREEN Track DT-3000 SOHCoat_LIT 348 SCREEN Track DT-3000 Sokudo Track 349 SCREEN Track RF-300A COT/DEV 350 SCREEN Track RF-300A i-Line Track 351 SCREEN Track RF-300A i-Line Track 352 SCREEN Track RF-300A i-Line Track 353 SCREEN Track RF-300A Photoresist 354 SCREEN Track SS-3000-A SCRUBBER 355 SCREEN Track SS-3000-A SCRUBBER 356 SCREEN Track SS-3000-A SCRUBBER 357 SCREEN Track SS-3000-A SCRUBBER 358 SCREEN Track SS-3000-A SCRUBBER 359 SCREEN Track SS-3000-AR SCRUBBER 360 SCREEN Track SS-3000-AR SCRUBBER 361 SCREEN Track SS-3000-AR SCRUBBER 362 SCREEN Track SS-3000-AR SCRUBBER
  • 12. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 12 sales@semistarcorp.com 363 SCREEN Track SS-3100 - 364 SCREEN Track SS-W80A-A SCRUBBER 365 SCREEN WET FC-3000 Wet Clean / Strip 366 SCREEN WET FC-3000 Wet Clean / Strip 367 SCREEN WET FC-3000 Wet Clean / Strip 368 SCREEN WET FC-3100 Wet Cleans 369 SCREEN WET SU-3100 CLN 370 SCREEN WET SU-3100 SINGLE_DSP 371 SCREEN WET SU-3100 Wafer Cleaning System 372 SCREEN WET SU-3100 Wafer Cleaning System 373 SCREEN WET SU-3100 Wafer Cleaning System 374 SCREEN WET SU-3100 Wafer Cleaning System 375 SCREEN WET SU-3100 Wafer Cleaning System 376 SCREEN WET SU-3100 Wafer Cleaning System 377 SCREEN WET SU-3100 Wafer Cleaning System 378 SCREEN WET SU-3100 Wafer Cleaning System 379 SCREEN WET SU-3200 Wafer Cleaning System 380 SCREEN WET WS-820C Wet Etching 381 SCREEN WET WS-820L WET 382 Semitool WET Raider Cu Electroplater 383 Semitool WET Raider Cu Plating, Electro-Chemical Depostion 384 Semitool WET Raider ECD ECD[CMP_COPPERPLATE_BEOL] 385 Semitool WET Raider ECD ECD[CMP_COPPERPLATE_BEOL _DEV]
  • 13. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 13 sales@semistarcorp.com 386 Semitool WET Raider ECD Electroplating 387 Semitool WET Raider ECD310 AMAT RAIDER ECD310 [COPPER SN AG PLATE] 388 Semitool WET Raider ECD310 Copper Plating - Electro-Chemical Deposition 389 Semitool WET Raider ECD310 Electroplating 390 Semitool WET Raider ECD314 METAL 391 SEZ WET SP201 Running in fab 392 Shibaura Etch Allegro CDE300 CDE 393 Shibaura Etch ICE/CDE300 Isotropic Chemical Dry Etch 394 Surftens Metrology Measurement Metrology 395 SUSSMicroT ec Stepper MA-150 Mask Aligner 396 SUSSMicroT ec Stepper MA200 Aligner 397 SUSSMicroT ec Stepper MA200 Aligner 398 SUSSMicroT ec Stepper MA200 Mask Aligner 399 SVG Furnace 5204 Diffusion 400 SVG Furnace 5204 Diffusion 401 TEL CVD Trias ALD TiN 402 TEL CVD Trias CVD Ti 403 TEL CVD Trias CVD Ti 404 TEL CVD Trias CVD Ti 405 TEL CVD Trias CVD Ti 406 TEL CVD Trias CVD Ti 407 TEL CVD Trias CVD TiN 408 TEL CVD Trias Liner/Barrier - Ru CVD, iPVD TaN, iPVD Cu 409 TEL CVD Trias Metal 410 TEL CVD Trias UV Cure 411 TEL CVD Trias SPA CVD 412 TEL CVD Trias SPA CVD 413 TEL Etch Tactras DTMO 414 TEL Etch Tactras Vigus ILDEtch 415 TEL Etch Tactras Vigus ILDEtch_HM 416 TEL Etch Tactras Vigus LK2 BEoL 417 TEL Etch Tactras Vigus Tactrax RLSA 418 TEL Etch Tactras Vigus TEL TACTRAS Vigus (LK3 MoL with gas/bias pulsing) (warehouse) 419 TEL Etch Tactras Vigus Via Etch
  • 14. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 14 sales@semistarcorp.com 420 TEL Etch Telius - 421 TEL Etch Telius SCCM Oxide 422 TEL Etch Telius SCCM Jin Oxide 423 TEL Etch Telius SCCM Shin Oxide 424 TEL Etch Telius SCCM Shin Oxide 425 TEL Etch Telius SP 304 Poly Etcher 426 TEL Etch Telius SP 304 Poly etcher 427 TEL Etch Telius SP 305 SCCM TE - 428 TEL Etch Telius SP 308SS SCCM(4CH)/TELIUS(IBMPAIDTOO L)[ETC_RIE_DTSI_DT] 429 TEL Etch Unity2e 855SS Oxide 430 TEL Etch Unity2e 855SS Oxide 431 TEL Etch Unity2e 855SS Oxide 432 TEL Etch Unity2e 85ADI Oxide 433 TEL Etch Unity2e 85DI Oxide 434 TEL Furnace Alpha-303i DCS-HTO 435 TEL Furnace Alpha-303i HSQ Anneal 436 TEL Furnace Alpha-303i LP P-doped Poly 437 TEL Furnace Alpha-303i LP P-doped Poly 438 TEL Furnace Alpha-303i LP P-doped Poly 439 TEL Furnace Alpha-303i LP P-doped Poly 440 TEL Furnace Alpha-303i LP-SOG-Cure 441 TEL Furnace Alpha-303i PIQ 442 TEL Furnace Alpha-303i Poly Cure 443 TEL Furnace Alpha-303i-K HTO/SiN 444 TEL Furnace Alpha-303i-K MTO 445 TEL Furnace Alpha-303i-K MTO 446 TEL Furnace Alpha-303i-K MTO 447 TEL Furnace Alpha-303i-K Poly 448 TEL Furnace Alpha-303i-K Poly 449 TEL Furnace Alpha-303i-K Poly 450 TEL Furnace Indy - 451 TEL Furnace Indy - 452 TEL Furnace Indy - 453 TEL Furnace Indy-A DCS Nit 454 TEL Furnace Indy-A DCS Nit 455 TEL Furnace Indy-B DIFF 456 TEL PVD MarkIV Metal sputter 457 TEL PVD MBB-830 METAL 458 TEL Track ACT8 Dual COT/DEV
  • 15. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 15 sales@semistarcorp.com 459 TEL Track ACT8 Dual COT/DEV 460 TEL Track ACT8 Dual COT/DEV 461 TEL Track ACT8 Single COT/DEV 462 TEL Track ACT8 Single COT/DEV 463 TEL Track ACT8 Single COT/DEV 464 TEL Track LITHIUS Arf 465 TEL Track LITHIUS COT/DEV 466 TEL Track LITHIUS COT/DEV 467 TEL Track LITHIUS COT/DEV 468 TEL Track LITHIUS COT/DEV 469 TEL Track LITHIUS COT/DEV 470 TEL Track LITHIUS COT/DEV 471 TEL Track LITHIUS COT/DEV 472 TEL Track LITHIUS COT/DEV 473 TEL Track LITHIUS COT/DEV 474 TEL Track LITHIUS COT/DEV 475 TEL Track LITHIUS COT/DEV 476 TEL Track LITHIUS COT/DEV 477 TEL Track LITHIUS COT/DEV 478 TEL Track LITHIUS COT/DEV 479 TEL Track LITHIUS COT/DEV 480 TEL Track LITHIUS COT/DEV 481 TEL Track LITHIUS COT/DEV 482 TEL Track LITHIUS COT/DEV 483 TEL Track LITHIUS COT/DEV 484 TEL Track LITHIUS COT/DEV 485 TEL Track LITHIUS COT/DEV 486 TEL Track LITHIUS COT/DEV 487 TEL Track LITHIUS Photoresist Coat Track 488 TEL Track LITHIUS Photoresist Coater 489 TEL Track LITHIUS Resist Coat/Develop 490 TEL Track LITHIUS - 491 TEL Track LITHIUS Pro - 492 TEL Track LITHIUS Pro V - 493 TEL Track LITHIUS Pro-i Lithography ARFi 494 TEL Track NS300 SCRUBBER 495 TEL WET Cellesta-i CLN 496 TEL WET EXPEDIUS SP1/SPM 497 Ulvac PVD Ceraus ZX-1000 PVD 498 Ulvac PVD Ceraus ZX-1000 PVD 499 Ulvac PVD Ceraus ZX-1000 PVD 500 Ulvac PVD Ceraus ZX-1000 PVD 501 Ulvac PVD Entron EX PVD
  • 16. Pls email us if any questions. www.semistarcorp.com sales@semistarcorp.com ID-5684 16 sales@semistarcorp.com 502 Ulvac PVD Entron EX W300 PVD 503 Ulvac PVD Entron EX W300 PVD 504 Ulvac PVD Entron EX W300 PVD 505 Ulvac PVD Entron EX W300 PVD 506 Ulvac PVD Entron EX W300 PVD 507 Ulvac PVD Entron EX W300 PVD 508 UNAXIS PVD LLS900 PVD 509 Varian PVD 3290 PVD 510 Veeco Metrology Dimension X3D AFM 511 WONIK IPS CVD MAHA SP PTEOS