SlideShare a Scribd company logo
1 of 25
Download to read offline
No OEM Process Model
1 Accretech/TSK Production Wafer Prober UF3000
2 Accretech/TSK Production Wafer Prober UF3000
3 Accretech/TSK Production Wafer Prober UF3000
4 Accretech/TSK Production Wafer Prober UF3000
5 Accretech/TSK Production Wafer Prober UF3000
6 Accretech/TSK Production Wafer Prober UF3000
7 Accretech/TSK Production Wafer Prober UF3000
8 Accretech/TSK Production Wafer Prober UF3000
9 Accretech/TSK Production Wafer Prober UF3000
10 Accretech/TSK Production Wafer Prober UF3000
11 Accretech/TSK Production Wafer Prober UF3000
12 Accretech/TSK Production Wafer Prober UF3000
13 Accretech/TSK Production Wafer Prober UF3000
14 Accretech/TSK Production Wafer Prober UF3000
15 Accretech/TSK Production Wafer Prober UF3000
16 Accretech/TSK Production Wafer Prober UF3000
17 Accretech/TSK Production Wafer Prober UF3000
18 Accretech/TSK Production Wafer Prober UF3000
19 Active Technologies Tester RIFLE
20 Active Technologies Tester RIFLE
21 Active Technologies Tester RIFLE
22 ADE Metrology WaferSight
23 Advantest Digital Multimeter R6552T
24 Advantest Pick & Place Memory Handler M6771AD
25 Advantest Pick & Place Memory Handler M6771AD
26 Advantest Pick & Place Memory Handler M6771AD
27 Advantest Pick & Place Memory Handler M6771AD
28 Advantest Memory Tester T5377
29 Advantest Memory Tester T5377
30 Advantest Memory Tester T5377
31 Advantest Memory Tester T5377
32 Advantest Memory Tester T5377
33 Advantest Memory Tester T5377
34 Advantest Memory Tester T5377
35 Advantest Memory Tester T5377
36 Advantest Memory Tester T5377
37 Advantest Memory Tester T5377
38 Advantest Memory Tester T5377
39 Advantest Memory Tester T5377
40 Advantest Memory Tester T5377
41 Advantest Memory Tester T5377
42 AG Associates RTP HeatPulse 4100
43 Agilent Technologies Inspection 4156A
44 Agilent Technologies Inspection FTS-175C
45 Agilent Technologies Tester 4062F
46 Aixtron CVD Crius
47 Aixtron CVD Crius
48 Aixtron CVD Crius
49 Akrion Batch Wafer Processing GAMA
50 Alcatel Deep Reactive Ion Etch (DRIE) AMS 4200
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 1 of 25 ID-5335-1-1
51 AMAT CMP Fabs
52 AMAT CMP Reflexion
53 AMAT CVD Centura 4.0
54 AMAT CVD Centura 4.0
55 AMAT CVD Centura 4.0
56 AMAT CVD Centura AP Frame
57 AMAT CVD Centura DxZ
58 AMAT CVD Centura EPI
59 AMAT CVD Centura TAO
60 AMAT CVD Centura Ultima
61 AMAT CVD Centura Ultima HDP
62 AMAT CVD Centura Ultima HDP
63 AMAT CVD Centura Ultima HDP
64 AMAT CVD Centura Ultima Plus
65 AMAT CVD Centura Ultima X
66 AMAT CVD N_LIGHTEN
67 AMAT CVD P-5000
68 AMAT CVD P-5000
69 AMAT CVD P-5000
70 AMAT CVD P-5000
71 AMAT CVD P-5000
72 AMAT CVD P-5000
73 AMAT CVD P-5000
74 AMAT CVD P-5000
75 AMAT CVD P-5000
76 AMAT CVD P-5000
77 AMAT CVD P-5000
78 AMAT CVD P-5000
79 AMAT CVD P-5000
80 AMAT CVD P-5000
81 AMAT CVD P-5000
82 AMAT CVD Producer GT
83 AMAT CVD Producer GT
84 AMAT CVD Producer GT
85 AMAT CVD Producer GT
86 AMAT CVD Producer SE
87 AMAT CVD Producer SE
88 AMAT CVD Producer SE
89 AMAT CVD Producer SE
90 AMAT CVD Producer SE
91 AMAT CVD Producer SE
92 AMAT CVD Producer SE
93 AMAT CVD Producer SE
94 AMAT Etch Centura 5200
95 AMAT Etch Centura DPS G2
96 AMAT Etch Centura DPS G2
97 AMAT Etch Centura DPS G5
98 AMAT Etch Centura DPS G5
99 AMAT Etch Centura DPS G5
100 AMAT Etch Centura DPS G5 MESA
101 AMAT Etch Centura DPS R1
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 2 of 25 ID-5335-1-1
102 AMAT Etch Centura DPS+
103 AMAT Etch Centura DPS+
104 AMAT Etch Centura DPS+
105 AMAT Etch Centura DPS+
106 AMAT Etch Centura DPS2
107 AMAT Etch Centura DPS532
108 AMAT Etch Centura eMax CT
109 AMAT Etch Centura Enabler
110 AMAT Etch Centura Enabler
111 AMAT Etch Centura Enabler
112 AMAT Etch Centura Enabler
113 AMAT Etch Centura Super E
114 AMAT Etch Centura1 MXP
115 AMAT Etch Centura1 MXP
116 AMAT Etch DPS Extra Chamber
117 AMAT Etch ENABLER_E2
118 AMAT Etch MARIANA(Chamber)
119 AMAT Etch P-5000
120 AMAT Etch P-5000
121 AMAT Etch P-5000
122 AMAT Etch P-5000
123 AMAT Etch P-5000
124 AMAT Etch P-5000
125 AMAT Etch P-5000
126 AMAT Etch P-5000
127 AMAT Etch P-5000
128 AMAT Etch P-5000
129 AMAT Etch P-5000
130 AMAT Etch P-5000
131 AMAT Etch P-5000
132 AMAT Implant P3I
133 AMAT Metrology COMPASS 300
134 AMAT Metrology ComPLUS MP
135 AMAT Metrology SemVision CX
136 AMAT Metrology SEMVision G2
137 AMAT Metrology SEMVision G3
138 AMAT Metrology SEMVision G3
139 AMAT Metrology WF720
140 AMAT PVD Endura CL
141 AMAT PVD Endura CL
142 AMAT PVD Endura2
143 AMAT PVD Endura2
144 AMAT PVD EnduraCL CVD AL
145 AMAT RTP AMC7800
146 AMAT RTP AMC7800
147 AMAT RTP AMC7800RPX
148 AMAT RTP AMC7811
149 AMAT RTP AMC7821
150 AMAT RTP AMC7821
151 AMAT RTP Centura 5200 MOD1
152 AMAT RTP Centura DPN
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 3 of 25 ID-5335-1-1
153 AMAT RTP Centura DPN
154 AMAT RTP Centura Radiance
155 AMAT RTP Centura Radiance
156 AMAT RTP Centura Radiance
157 AMAT CVD Centura SiNgen Plus
158 AMAT CVD Producer SE
159 AMAT CVD Producer SE
160 AMAT CVD Producer SE
161 AMAT PVD ELECTRA ECP
162 AMAT PVD Endura II
163 Anelva Corp. PVD
Cosmos II I-1201CX PVD Cu
Barrier/Seed
164 AMAT HDP CVD Centura AP Ultima X
165 AMAT Etch Centura AP Enabler
166 AMAT Etch Centura AP Enabler
167 AMAT Etch Centura AP Enabler
168 AMAT Metrology VeritySEM 2
169 AMAT PVD Endura 300 Aluminum Interconnect
170 AMAT Etch Centura AP DPS II Polysilicon
171 AMAT Etch Centura AP DPS II Polysilicon
172 AMAT Etch Centura AP Enabler
173 AMAT Metrology Uvision 200
174 AMAT Metrology SEMVision ADC Server
175 AMAT Darkfield Inspection ComPLUS 3T
176 AMAT Darkfield Inspection ComPLUS 3T
177 AMAT Etch Centura AP Enabler
178 AMAT Brightfield Inspection Uvision 3
179 AMAT Metal Etch Centura AP Axiom HT Chamber
180 AMAT PECVD Producer GT
181 AMAT HDP CVD Centura AP Ultima X
182 AMAT HDP CVD Centura AP Ultima X
183 AMAT PECVD Producer GT
184 AMAT Metrology VeritySEM 3
185 AMAT Metrology VeritySEM 2
186 AMAT Metrology VeritySEM 3
187 AMAT Metrology VeritySEM 2
188 AMAT Metrology VeritySEM 2
189 AMAT Metrology VeritySEM 2
190 AMAT Metrology VeritySEM 3
191 AMAT Metal Etch Opus AdvantEdge
192 AMAT Implant Quantum X Plus
193 AMAT SACVD Producer SE SACVD
194 AMAT CMP Reflexion LK
195 AMAT Darkfield Inspection ComPLUS 4T
196 AMAT Metrology VeritySEM 2
197 AMAT SACVD Producer SE SACVD
198 AMAT PECVD Producer GT Eterna FCVD
199 AMAT Implanter Quantum X Plus
200 AMAT SACVD Producer SE SACVD
201 AMAT PECVD Producer SE APF
202 AMAT Brightfield Inspection Uvision 5
203 AMAT Metrology VeritySEM 2
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 4 of 25 ID-5335-1-1
204 AMAT Etch Centura 5200 Poly Etch DPS Plus
205 AMAT Etch Centura AP Axiom Strip Chamber
206 AMAT Etch Centura AP Enabler Chamber
207 AMAT Etch Centura AP HART Etch
208 AMAT Etch Centura AP HART Etch
209 AMAT Etch
Centura AP Oxide Etch eMax CT
chamber
210 AMAT Etch
Centura AP Oxide Etch eMax CT plus
chamber
211 AMAT Etch
Centura AP Oxide Etch eMax CT3
chamber
212 AMAT CVD Centura Multi-Process CVD
213 AMAT Metrology Compass
214 AMAT Metrology Compass Pro
215 AMAT Metrology Compass Pro
216 AMAT Metrology Compass Pro
217 AMAT Metrology NanoSEM
218 AMAT Metrology NanoSEM
219 AMAT Metrology NanoSEM 3D
220 AMAT CVD P5000 Multi-Process CVD
221 AMAT CVD P5000 Multi-Process CVD
222 AMAT CVD P5000 Multi-Process CVD
223 AMAT CVD P5000 PECVD
224 AMAT CVD P5000 TEOS
225 AMAT CVD P5000 TEOS
226 Applied Precision Probe Card Analyzer Precision Point VX2 Extension
227 ASM CVD Dragon 2300
228 ASM CVD Eagle 10
229 ASM CVD Eagle 10
230 ASM CVD Eagle 12
231 ASM CVD PXJ-200
232 ASM CVD Eagle-12
233 ASM CVD Eagle-12
234 ASM CVD Eagle-12
235 ASM CVD Eagle-XP
236 ASM International Vertical LPCVD Furnace A412 Doped Poly
237 ASM International Vertical LPCVD Furnace A412 Doped Poly
238 ASM International EPI Epsilon E2000
239 ASML Scanner Twinscan XT1900Gi
240 ASML Stepper PAS 5500/100D
241 ASML Stepper PAS 5500/200B
242 ASML Stepper PAS 5500/250C
243 ASML Scanner TWINSCAN XT:1950Hi
244 ASML Stepper PAS 5500/300C
245 Asyst Shinko Wafer Transport VHT9-2-1
246 Asyst Shinko Wafer Transport VHS1
247 Asyst Technologies, Inc. SMIF
SMIF->Open Adaptor Plates (PN:9700-
3375-01)
248 Asyst Technologies, Inc. SMIF
SMIF->Open Adaptor Plates (PN:9700-
3375-01)
249 Asyst Technologies, Inc. SMIF
SMIF->Open Adaptor Plates (PN:9700-
3375-01)
250 ATIS WET DE-WAX wet station
251 ATIS WET RE-WORK wet station
252 ATIS WET SOLVENT wet station
253 ATIS WET WET etching
254 ATMI Track Ultra 6000
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 5 of 25 ID-5335-1-1
255 ATMI Track Ultra 6000
256 ATMI Track Vector Ultra XSI
257 Aviza ALD Celsior
258 Aviza ALD Pantheon
259 Axcelis Track Rapid Cure320FC Radiator
260 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320
261 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320
262 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320
263 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320
264 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320
265 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320
266 Axcelis Technologies Inc. Stripper/Asher RapidStrip 320
267 Axcelis Technologies Inc. Stripper/Asher RapidStrip 320
268 Axcelis Technologies Inc. Stripper/Asher RapidStrip 320
269 Axcelis(Eaton/Fusion) Bake 200PC
270 Axcelis(Eaton/Fusion) Bake 200PCU
271 Berg Chiller/Heat Exchanger PA-3-X
272 Blue M Others DCC 606 EMP550
273 BMR Etch -
274 BMR Etch -
275 BMR Etch -
276 Brooks Metrology Bright light 200
277 Brooks Metrology PRI7500
278 Brooks Automation, Inc. FOUP/FOSB Transfer DARTS 6-FOUP Buffer
279 Bruker Metrology Diffraktmeter D8
280 CANON Asher MAS1800
281 CANON Asher MAS-801HR
282 CANON Asher MAS-801HR
283 CANON CVD APT-4800
284 CANON Scanner FPA-5000ES3
285 CANON Scanner FPA-5000ES3
286 CANON Stepper FPA-5500iZ
287 CANON Stepper FPA-6000 ES6A
288 CANON Stepper FPA-6000 ES6A
289 CANON Stepper MPA-600SUPER
290 CANON Stripper/Asher Surpass 320
291 CANON Aligner PLA-600FA
292 CANON Asher MAS-8220
293 CANON Asher MAS-8220
294 CANON Asher MAS-8220
295 CANON CVD APT-4800
296 CANON CVD APT-4800
297 CANON Stepper FPA-6000ES6a
298 Canon Machinary Bonder BESTEM-D01R
299 Canon Machinary Bonder BESTEM-D01R
300 Canon Machinary Bonder BESTEM-D01R
301 Canon Machinary Bonder BESTEM-D01R
302 Canon Machinary Bonder BESTEM-D01R
303 Canon Machinary Bonder BESTEM-D10SP
304 Canon Machinary Bonder BESTEM-D10SP
305 Canon Machinary Bonder BESTEM-D10SP
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 6 of 25 ID-5335-1-1
306 Canon Machinary Bonder BESTEM-D10SP
307 Canon Machinary Bonder BESTEM-D10SP
308 Carrier Chiller/Heat Exchanger 19EX
309 CI Science Etch TORUS-200
310 CI Science Track Charm2000
311 Crest Ultrasonics Ultrasonic Cleaner 0C4-1622-HE, CTS-2000
312 CyberOptics Corporation Solder Paste Inspection SE 300
313 Cymer Scanner ELS-5400
314 Cymer Scanner ELS-5410
315 DAN Batch Wafer Processing FC-3000
316 DAN Batch Wafer Processing FC-3000
317 DAN Batch Wafer Processing FC-3000
318 DAN Batch Wafer Processing FC-3000
319 DAN Batch Wafer Processing FC-3000
320 DAN Single Wafer Processing MP-3000
321 DAN Single Wafer Processing MP-3000
322 DAN Batch Wafer Processing FC-3000
323 DAN Others SCOV8594
324 DNS CMP AS2000
325 DNS RTP LA820
326 DNS RTP LA820
327 DNS RTP LA-W820-A
328 DNS Track SS-3000-A
329 DNS Track SS-3000-A
330 DNS Track SS-3000-A
331 DNS Track SS-3000-A
332 DNS Track SS-3000-A
333 DNS Track SS-3000-A
334 DNS Track SS-3000-A
335 DNS Track SS-3000-A
336 DNS Track SS-3000-AR
337 DNS Track SS-3000-AR
338 DNS Track SS-3000-AR
339 DNS WET FC-3000
340 DNS WET MP-3000
341 DNS CMP FL-820L
342 DNS Track SD-W60A-AVP
343 DNS Track SD-W60A-AVP
344 DNS Track SK-2000
345 DNS Annealer LA820
346 DNS Cleaning MP-3000
347 DNS Cleaning MP-3000
348 DNS Cleaning MP-3000
349 DNS Cleaning SU-3000
350 DNS Track SC-200W-AV
351 DNS Track SC-80BW-AVG
352 DNS Track SC-80BW-AVP
353 DNS Track SC-W80A-AVG
354 DNS Track SC-W80A-AVG
355 DNS Track SD-200W-AVPE
356 DNS Track SD-200W-AVPE
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 7 of 25 ID-5335-1-1
357 DNS Track SD-200W-AVPE
358 DNS Track SD-200W-AVPE
359 DNS Track SD-80BW-AVQ
360 DNS Track SD-W200D-AVPE
361 DNS Track SK-2000-BVPEU
362 DNS Track SK-200W-AVPE
363 DNS Track SK-200W-AVPE
364 DNS Track SK-200W-AVPE
365 DNS Scrubber SR-2000
366 DNS Scrubber SS-W80A-AVR
367 DNS Scrubber SS-W80A-AVR
368 Dong-A Metrology LCM Inspector
369 EBARA CMP EPO222A
370 EBARA CMP EPO222A
371 EBARA CMP EPO222A
372 EBARA CMP EPO222A
373 EBARA CMP EPO222A
374 EBARA CMP EPO222A
375 EBARA CMP EPO222A
376 EBARA CMP EPO-223
377 EBARA CMP EPO-223
378 EBARA CMP EPO-223
379 EBARA CMP F-REX300S
380 EBARA CMP F-REX300S
381 Ebara CMP FREX300
382 Ebara CMP FREX300
383 Ebara CMP FREX300
384 Ebara CMP EPO-223 - Tungsten
385 Ebara CMP EPO-223 - Tungsten
386 Ebara CMP EPO-223 - Tungsten
387 Ebara Vacuum VRM23-7LX
388 Ebara Grinder EAC200bi
389 Ebara Other AA200
390 Ebara Other AA200
391 Ebara Other AA70
392 Ebara Other AA70
393 Edwards Abatement - Exhaust Management System Tempest
394 Ellipso Tech Metrology EM-SE-aM6
395 Ellipso Tech Metrology EM-SE-UaM8
396 Engersoll-Rand Compressed Air Dryer HRD4435EM-JSP
397 Engersoll-Rand Compressed Air Dryer HRD4435EM-JSP
398 ENI Others ENI GHW50A
399 ENI Others ENI GHW50A
400 ENI Others ENI GHW50A
401 ENI Others ENI GHW50A
402 ENI Others ENI GHW50A
403 ENI Others ENI GHW50A
404 ENI Others ENI GHW50A
405 Entegris FOUP FOUP 300mm
406 Entegris FOUP FOUP 300mm
407 Envirotronics Walk-in Cooler WP783-1-15-WC-RUM
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 8 of 25 ID-5335-1-1
408 Estek Metrology WIS 600
409 Estek Metrology WIS 600
410 Etamax Metrology PL Mapper
411 EV Group Wafer Bonding EVG 850DB
412 EV Group Wafer Bonding EVG 850TB
413 EV Group Wafer Bonding EVG 850TB
414 FEI Company Focused Ion Beam System Strata 400S
415 FEI Company Metrology DB820
416 First Ten Angstroms Life Sciences, Particle Analysis FTA2000
417 FRONTIER Inspection FSM128LC2C
418 FSI International Cleaning MERCURY
419 FSI International Wafer Cleaning Equipment Antares CX200
420 FSI International Wafer Cleaning Equipment Antares CX200
421 Fusion Track M150PC
422 Fusion Track M150PC
423 Fusion Track M150PC
424 Fusion Track M150PC
425 Fusion Track M150PCJ
426 Gasonics Asher PEP3510A
427 Gasonics Etch 3500 PEP series
428 Gasonics Etch PEP Iridia
429 Gasonics Etch PEP Iridia
430 Gasonics Etch PEP Iridia
431 Gasonics Etch PEP Iridia
432 Gasonics Etch PEP Iridia
433 Gasonics Etch Strata
434 GDO Abatement - CDO Scrubber 1861-ST
435 GMW Associates Electromagnetic System 3470
436 GSI Lumonics Laser Repair System WafeRepair M550
437 GSI Lumonics Laser Repair System WafeRepair M550
438 GSI Lumonics Laser Repair System WafeRepair M550
439 Hirayama Metrology PC-304R7
440 Hitachi Etch DM421P
441 Hitachi Metrology I6300
442 Hitachi Metrology I6300
443 Hitachi Metrology IS2700
444 Hitachi Metrology RS3000
445 Hitachi Metrology RS3000T
446 Hitachi Metrology RS4000
447 Hitachi Metrology S-4700
448 Hitachi Metrology S-5200
449 Hitachi Metrology S-8640
450 Hitachi Metrology S-9200S
451 Hitachi Metrology S-9300T
452 Hitachi Metrology S-9360
453 Hitachi Metrology S-9380
454 Hitachi Metrology S-9380II
455 Hitachi Metrology S-9380II
456 Hitachi Metrology S-9380II
457 Hitachi Metrology VR-120SD
458 Hitachi Others UTS2020
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 9 of 25 ID-5335-1-1
459 Hitachi (Semiconductor) Particle Measurement LS6800
460 Hitachi (Semiconductor) Focused Ion Beam System FB-2100
461 Hitachi Kokusai Asher RAMCO-8500II
462 Hitachi Kokusai Asher RAMCO-8500II
463 Hitachi Kokusai Asher RAMCO-8500II
464 Hitachi Kokusai Asher RAMCO-8500ZX
465 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2)
466 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2)
467 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2)
468 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2)
469 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2)
470 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2)
471 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2)
472 Hitachi Kokusai Electric CVD DJ-1206VN-DM(Quixace1-ALDINNA)
473 Hitachi Kokusai Electric CVD DJ-823V(VERTEX-3 DASI)
474 Hitachi Kokusai Electric CVD DJ-823V(VERTEX-3 HTO)
475 Hitachi Kokusai Electric Furnace DD-833V
476 Hitachi Kokusai Electric Furnace DJ-1206V-DF(Quixace2)
477 Hitachi Kokusai Electric Vertical LPCVD Furnace Quixace II
478 Horiba Metrology PR-PD2
479 Horiba Metrology PR-PD2
480 Hugle WET CRD-1000
481 Hugle WET CRD-1000
482 Hugle Electronics Cleaning UPC-8300
483 Hugle Electronics Cleaning UPC-8300
484 Hypervision Metrology CHIP UNZIP
485 J.A. Woollam Metrology VASE
486 JEOL Metrology JSM-6320
487 JEOL Metrology JSM-6340F
488 JEOL Metrology JWS-7515
489 Karl SUSS Stepper MA150
490 Karl SUSS Stepper MA150
491 Karl SUSS Stepper MA200
492 Kashiyama Vacuum RD300
493 Kashiyama Vacuum RD300
494 Kawasaki Heavy Industries, Ltd. Gas Turbine Generator M7A-02
495 KCCAT Inc Others KC-805
496 KCCAT Inc Others KC-805
497 KCCAT Inc Others KC-805
498 KCCAT Inc Others KC-805
499 KCCAT Inc Others KC-805
500 KCCAT Inc Others KC-805
501 KLA-Tencor Metrology AIT
502 KLA-Tencor Metrology AIT FUSION XUV
503 KLA-Tencor Metrology AITUV
504 KLA-Tencor Metrology AITUV
505 KLA-Tencor Metrology Aleris
506 KLA-Tencor Metrology Archer 10 AIM+
507 KLA-Tencor Metrology Archer 10XT
508 KLA-Tencor Metrology Archer AIM MPX
509 KLA-Tencor Metrology Archer AIM+
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 10 of 25 ID-5335-1-1
510 KLA-Tencor Metrology Archer XT+
511 KLA-Tencor Metrology AWIS-3110
512 KLA-Tencor Metrology AWIS-3110
513 KLA-Tencor Metrology AWIS-3110
514 KLA-Tencor Metrology EDR5210
515 KLA-Tencor Metrology EDR5210
516 KLA-Tencor Metrology Ergolux
517 KLA-Tencor Metrology INM100+INS10
518 KLA-Tencor Metrology INS3300G1
519 KLA-Tencor Metrology KLA2133
520 KLA-Tencor Metrology KLA2370-IS
521 KLA-Tencor Metrology KLA2552
522 KLA-Tencor Metrology KLA5200XP
523 KLA-Tencor Metrology KLA5200XP
524 KLA-Tencor Metrology LDS3300M
525 KLA-Tencor Metrology NANOMAPPER
526 KLA-Tencor Metrology P11
527 KLA-Tencor Metrology Polyvar SC
528 KLA-Tencor Metrology Puma 9000S
529 KLA-Tencor Metrology SP2-XP
530 KLA-Tencor Metrology AIT XP
531 KLA-Tencor Metrology FLX 2908
532 KLA-Tencor Metrology P2
533 KLA-Tencor Inspection Archer10
534 KLA-Tencor Inspection Archer10
535 KLA-Tencor Inspection Archer10XT
536 KLA-Tencor Inspection KLA-5200
537 KLA-Tencor Inspection KLA-5200
538 KLA-Tencor Inspection NC110
539 KLA-Tencor Inspection Quantox64100
540 KLA-Tencor Parts/Options AIT XP
541 KLA-Tencor Overlay Measurement System Archer AIM+
542 KLA-Tencor Parts/Options AIT XP
543 KLA-Tencor E-beam Inspection eS32
544 KLA-Tencor Darkfield Inspection AIT XP+
545 KOBELCO Inspection ID SORTER
546 Kocat Others -
547 Koelcombi Others APEX
548 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
549 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
550 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
551 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
552 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
553 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
554 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
555 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
556 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
557 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
558 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
559 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
560 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 11 of 25 ID-5335-1-1
561 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
562 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
563 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
564 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
565 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
566 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
567 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
568 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
569 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
570 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
571 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
572 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
573 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
574 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
575 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
576 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
577 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
578 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
579 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
580 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
581 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
582 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly
583 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD High-k
584 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Poly
585 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly
586 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace II
587 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace II
588 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace II
589 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace Ultimate ALD SiO2
590 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly
591 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace Ultimate ALD SiO2
592 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly
593 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V
594 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V
595 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V
596 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
597 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN
598 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V
599 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V
600 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V
601 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly
602 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly
603 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Poly
604 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Poly
605 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Nitride
606 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace Ultimate ALD SiO2
607 Komatsu Scanner G20K2-1
608 Komatsu Scanner G20K2-1
609 Komatsu Scanner G20K4-1
610 Komatsu Scanner G20K4-1
611 Komatsu Scanner G20K4-1
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 12 of 25 ID-5335-1-1
612 LAM Research Etch 2300 Exelan
613 LAM Research Etch 2300 METAL
614 LAM Research Etch 2300 METAL
615 LAM Research Etch 2300 METAL
616 LAM Research Etch 2300 METAL
617 LAM Research Etch 2300 Versys
618 LAM Research Etch Kiyo45 Metal Chamber
619 LAM Research Etch R4728
620 LAM Research Etch Rainbow 4528 Exelan
621 LAM Research Etch Rainbow 4528 Exelan
622 LAM Research Etch Rainbow-4428
623 LAM Research Etch TCP9400
624 LAM Research Etch TCP9600
625 LAM Research Etch TCP9600
626 LAM Research Etch TCP9600
627 LAM Research Etch TCP9600
628 LAM Research Etch TCP9600SE
629 LAM Research Etch TCP9600SE
630 LAM Research Etch TCP9600SE
631 LAM Research Etch 2300 Exelan Flex 45
632 LAM Research Etch 2300 Exelan Flex 45
633 LAM Research Etch 2300 Exelan Flex EX+
634 LAM Research Etch 2300 Exelan Flex 45
635 LAM Research Etch 2300 Exelan Flex 45
636 LAM Research Etch 2300 Exelan Flex 45
637 LAM Research Etch 2300 Exelan Flex 45
638 LAM Research Etch 2300 Exelan Flex 45
639 LAM Research Etch 2300 Exelan Flex 45
640 LAM Research Etch 2300 Exelan Flex 45
641 LAM Research Etch 2300 Exelan Flex 45
642 LAM Research Etch 2300 Exelan Flex 45
643 LAM Research Etch 2300 Exelan Flex 45
644 LAM Research Etch 2300 KIYO
645 LAM Research Etch 2300e5 Kiyo
646 LAM Research Etch 2300 Versys Kiyo
647 LAM Research Etch 2300 Versys Kiyo
648 Lam Research Etch 2300 Exelan
649 Lam Research Etch 2300 Exelan Flex
650 Lam Research Etch 2300 Exelan Flex
651 Lam Research Etch 2300 Exelan Flex
652 Lehighton Electronics Metrology 1510EC SA
653 Leica / Vistec Metrology LDS 3300M
654 Leica / Vistec Metrology LDS 3300M
655 Leica Inc. Macro-Defect INS3300
656 Leo Instruments/Cambridge Metrology S260
657 Lintec Wafer Mount / Tape LTD-2500F/12
658 LTK Asher 200 Photomask
659 MAT Inc. Front Side Glass Laminator HMS-400P-II
660 Mattson CVD AST3000 plus
661 Mattson Etch PARADIGME_SP
662 Mattson Etch PARADIGME_SP
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 13 of 25 ID-5335-1-1
663 Mattson RTP AST2900
664 Mattson RTP AST2900
665 Mattson RTP AST3000
666 Mattson RTP AST3000
667 Mattson RTP AST3000
668 Mattson RTP AST3000
669 Mattson RTP AST3000
670 Mattson RTP Helios
671 Mattson RTP Helios
672 Mattson RTP Helios
673 Mattson Rapid Thermal Processing 2800 Epsilon
674 Mattson Rapid Thermal Processing 2800 Epsilon
675 Mattson Standalone RTP Equipment Helios
676 Mattson Standalone RTP Equipment 3000
677 Mattson Standalone RTP Equipment 3000
678 Met One Metrology DE712AF-5
679 Metryx Mass Measurement Mentor OC23
680 Micro Instruments Metrology PE9020
681 Micro Instruments Metrology PE9020
682 Micromanipulator Engineering Wafer Prober 6100
683 Miele WET Cleaner (Type G7827)
684 Mitsubishi Wire EDM (Electrical Discharge Machine) FX-20
685 Mitsubishi Wire EDM (Electrical Discharge Machine) SX-10
686 MKS CVD GHW50A-13DF3H0-10
687 MKS CVD NOVA-50A-10
688 MSP Metrology 2110
689 MultiProbe Inc. Engineering Wafer Prober MP1
690 Nanometrics Metrology CALIPER_MOSAIC
691 Nanometrics Metrology CALIPER_MOSAIC
692 Nanometrics Metrology CALIPER_MOSAIC
693 Nanometrics Metrology CDS-200
694 Nanometrics Metrology Nanospec AFT400
695 Nanometrics Metrology SIPHER
696 Nanometrics Metrology 210 AFT
697 Nanometrics Metrology 210 AFT
698 Nanometrics Metrology NanoSpec M-5100
699 Nanometrics Metrology NanoSpec M-5100
700 Nanometrics Inspection 6100X
701 Nanometrics Inc. Critical Dimension (CD) Measurement (non SEM) LYNX
702 nanoscope Metrology N3-3000
703 Newprotech Others -
704 Newprotech Others -
705 Newprotech Others -
706 Newprotech Others NS601.3K
707 Newprotech Others NS601.3K
708 Newprotech Others NSGB1.5K
709 Newprotech Others NSGB1.5K
710 Newprotech Others NSGB1.5K
711 Newprotech Others NSGB1.5K
712 Newprotech Others NSGB1.5K
713 Newprotech Others NSGB1.5K
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 14 of 25 ID-5335-1-1
714 Newprotech Others NSGB1.5K
715 Newprotech Others NSGB1.5K
716 Newprotech Others NSGC3.K
717 Nextest Systems Corporation Parts/Options Maverick II PT - Spares Kit
718 Nextest Systems Corporation Design Verification Tester Maverick II PT
719 Nextest Systems Corporation Design Verification Tester Maverick II PT
720 Nicolet Metrology ECO1000m
721 Nicolet FT-IR Continuum XL
722 Nidek Inspection IM-15
723 Nidek Inspection IM-15
724 Nidek Inspection IM-15
725 Nidek Inspection IM-15
726 Nidek Inspection IM-15
727 Nidek Inspection IM-15
728 Nidek Inspection IM-15
729 Nikon Metrology OPTIPHOT 66
730 Nikon Metrology SMZ-U
731 Nikon Metrology SMZ-U
732 Nikon Scanner NSR-S204B
733 Nikon Scanner NSR-S204B
734 Nikon Scanner NSR-S204B
735 Nikon Scanner NSR-S205C
736 Nikon Scanner NSR-S205C
737 Nikon Scanner NSR-S205C
738 Nikon Scanner NSR-S205C
739 Nikon Scanner NSR-S205C
740 Nikon Scanner NSR-S205C
741 Nikon Scanner NSR-S306c
742 Nikon Scanner NSR-S306c
743 Nikon Scanner NSR-S306c
744 Nikon Stepper NES1-H04
745 Nikon Stepper NSR-2005i10C
746 Nikon Stepper NSR-2205EX12B
747 Nikon Stepper NSR-2205EX12B
748 Nikon Stepper NSR-2205EX12B
749 Nikon Stepper NSR-2205EX14C
750 Nikon Stepper NSR-2205EX14C
751 Nikon Stepper NSR-2205EX14C
752 Nikon Stepper NSR-2205EX14C
753 Nikon Stepper NSR-4425i
754 Nikon Stepper NSR-4425i
755 Nikon Stepper NSR-SF140
756 Nikon Inspection OPTIPHOT-200
757 Nikon Inspection OPTIPHOT-200
758 Nikon Inspection OPTISTATION-7
759 Nikon Stepper NSR-2205EX14C
760 Nikon Stepper NSR-4425i
761 Nippon Scientific Co. Ltd. Specimen Preparation ES372
762 Nitto Metrology HR8500
763 Novellus Asher Gamma Express
764 Novellus CVD C2 Dual Altus STD
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 15 of 25 ID-5335-1-1
765 Novellus CVD C2 Dual Speed Shrink
766 Novellus CVD C2 Dual Speed Shrink
767 Novellus CVD C2 Single Altus
768 Novellus CVD C2 Triple Speed Shrink
769 Novellus CVD C2 Triple Speed Shrink
770 Novellus CVD C3 Speed MAX
771 Novellus CVD C3 Speed NEXT
772 Novellus CVD C3 Speed XT
773 Novellus CVD C3 Vector
774 Novellus CVD C3 Vector
775 Novellus CVD C3 Vector
776 Novellus CVD C3 Vector
777 Novellus CVD C3 Vector
778 Novellus CVD C3 Vector
779 Novellus CVD C3 Vector Extreme
780 Novellus WET Sabre XT
781 Novellus WET Sabre XT
782 Novellus CVD C2 SPEED
783 Novellus CVD SABRE xT
784 Novellus CVD SABRE xT
785 Novellus Systems Inc. PECVD VECTOR Express
786 Novellus Systems Inc. PECVD VECTOR
787 Novellus Systems Inc. PECVD VECTOR
788 Novellus Systems Inc. PECVD VECTOR Express
789 Novellus Systems Inc. WCVD Concept Three Altus xT
790 Novellus Systems Inc. ECD (Electro Chemical Deposition) SABRE
791 Novellus Systems Inc. PECVD VECTOR Express
792 OAI Metrology 358
793 OAI Metrology 358
794 OAI Metrology 358
795 Okamoto Surface Grinder Grinder-X ACC-12.24DX
796 Olympus Metrology BHMJL
797 Olympus Metrology BHMJL
798 Olympus Macro-Defect AL3120F
799 Olympus Macro-Defect AL3120F
800 Olympus Inspection AL2000&Microscope
801 Olympus Inspection AL3110F
802 Olympus Inspection AL3110F
803 Olympus Inspection AL3110FDUV
804 Olympus Inspection AL3120F
805 Olympus Inspection AL3120F
806 Olympus Inspection AL3120F
807 Olympus Inspection AL3120F
808 Olympus Inspection WLS8000
809 ORC MANUFACTURING Bake VUM-3359
810 Panalytical(Spectris) Metrology Xpert-Pro-MRd-XL
811 Peter Wolters CMP PM 300 Apollo
812 PRI Automation Other 7000
813 PSK Asher DAS2000
814 PSK Asher DES-212-304AVL
815 PSK Asher Tera 21
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 16 of 25 ID-5335-1-1
816 Quaid Technologies Conformal Coaters 8900 FC
817 Quaid Technologies Conformal Coaters 8900 FC
818 Qualmark HALT System Typhoon 1
819 Reichert-Jung Metrology Ultracut E 70 17 04
820 Rena WET Wetbench
821 Rena WET Wetbench Dip Etch(WB1)
822 Rena WET Wetbench Vapor Etch(WB02)
823 Ricor N2 Purge System SSAI-1
824 Rigaku Metrology TXRF3750
825 Rigaku Metrology 3630
826 Rorze Inspection RORZ
827 Rudolph Technologies Inspection CV-9812
828 Rudolph Technologies Inspection MetaPULSE
829 Rudolph Technologies, Inc. Bump Inspection WS 3880
830 Rudolph Technologies, Inc. Film Thickness Measurement S3000S
831 Rudolph Technologies, Inc. Film Thickness Measurement S3000S
832 Rudolph/August Metrology 3Di8500
833 Rudolph/August Metrology Axi-S
834 Rudolph/August Metrology Axi-S
835 Rudolph/August Metrology FE-IV
836 Rudolph/August Metrology FEVII
837 Rudolph/August Metrology FEVII
838 Rudolph/August Metrology FEVII
839 Rudolph/August Metrology FEVII
840 Rudolph/August Metrology FEVII
841 Rudolph/August Metrology FEVIID
842 Rudolph/August Metrology FEVIID
843 Rudolph/August Metrology MP300(Meta Pulse 300)
844 SAES Other MegaTorr PS7 H2 purifier
845 Semiconductor Diagnostics Metrology 3030
846 Semitel WET Parts Cleaner
847 Semitel WET Parts Cleaner
848 Semitel WET Parts Cleaner
849 Semitel WET Parts Cleaner
850 Semitool WET 1600-55M
851 Semitool WET 1600-55M
852 Semitool WET 1600-55M
853 Semitool WET RAIDER ECD
854 Semitool WET Raider ECD
855 Semitool WET SST-F-421-280-F
856 Semitool WET SST-F-421-280-F
857 Semitool WET SST-F-421-280-FK
858 Semitool WET SST-F-421-280-K
859 Semitool WET SST-F-421-280-K
860 Semitool ECD Equinox
861 Semitool Other STORM-3
862 Semitool Plating Raider
863 Semix Track Semix SOG coater
864 Semix Track TZP
865 SEN Implant NV-GSD-HE
866 SEN Implant NV-GSDIII-LE
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 17 of 25 ID-5335-1-1
867 SEN Implanter NV-GSDIII-90
868 Seojin Metrology SSM5200
869 SEZ Group Single Wafer Processing 304
870 SEZ Group Single Wafer Processing 201
871 Sharples Canister Centrifuge PM-38000
872 Shibaura PVD SWN5000
873 Shinkawa Bonding ACB-35S
874 Shinkawa Bonding ACB-35S
875 Shinkawa Bonding ACB-35S
876 Shinkawa Bonding ACB-35S
877 Shinkawa Bonding ACB-35S
878 Signatone Metrology   S-1060R-6SND3L
879 Silvaco Metrology S3245A
880 Sonix Scanning Acoustic Microscopy (SAM) / Tomography (SAT) AW-3000
881 SOSUL Etch EXTRIMA6000
882 SOSUL Etch EXTRIMA6000
883 SOSUL Etch EXTRIMA6000
884 Sosul Bevel Etch Extrima 3100
885 Soul Brain WET Electrolysis water Unit
886 STL Track SPARROW
887 STL Track SPARROW
888 Strasbaugh Crystal Growing Planarizer (Generic)
889 SUMCO Cleaning PXA-100
890 SUMCO Cleaning PXA-100
891 Sumitomo WET KC-200A
892 Sungjin Semitech WET F1BC02
893 Sungjin Semitech WET Ultra Sonic
894 Surftens Metrology Measurement
895 T.N.Tech Track CIE-1C2D04-C
896 T.N.Tech Track CIE-1C2D04-C
897 T.N.Tech Track CIE-1C2D04-C
898 Taeyangtech WET TYT-PC
899 Tazmo Wafer Bonder WSS12101M
900 Technos Metrology TREX 610 Series
901 TechWing Co., Ltd. Pick & Place Memory Handler TW312
902 TEL CVD Trias
903 TEL CVD Trias
904 TEL CVD Trias
905 TEL CVD Trias
906 TEL CVD Trias
907 TEL CVD Trias
908 TEL Etch Unity SCCM Shin
909 TEL Etch Unity SCCM Shin
910 TEL Etch Unity SCCM Shin
911 TEL Etch Unity SCCM Shin
912 TEL Furnace Alpha-303i-H
913 TEL Furnace Alpha-303i-H
914 TEL Furnace Alpha-303i-H
915 TEL Furnace Alpha-303i-H
916 TEL Furnace Alpha-303i-H
917 TEL Furnace Alpha-303i-H
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 18 of 25 ID-5335-1-1
918 TEL Furnace Alpha-303i-K
919 TEL Furnace Alpha-303i-K
920 TEL Furnace Alpha-303i-K
921 TEL Furnace Alpha-808SC
922 TEL Furnace Alpha-808SCN
923 TEL Furnace Alpha-808SCN
924 TEL Furnace Alpha-808SCN
925 TEL Furnace Alpha-808SCN
926 TEL Furnace Alpha-808SCN
927 TEL Furnace Alpha-808SCN
928 TEL Furnace Alpha-808SCN
929 TEL Furnace Alpha-808SD
930 TEL Furnace Alpha-808SD
931 TEL Furnace Alpha-808SD
932 TEL Furnace Alpha-808SD
933 TEL Furnace Alpha-808SD
934 TEL Furnace Alpha-808SD
935 TEL Furnace Alpha-808SD
936 TEL Furnace Alpha-808SD
937 TEL Furnace Alpha-808SD
938 TEL Furnace Alpha-808SD
939 TEL Furnace Alpha-808SD
940 TEL Furnace Alpha-808SD
941 TEL Furnace Alpha-808SD
942 TEL Furnace Alpha-808SD
943 TEL Furnace Alpha-808SD
944 TEL Furnace Alpha-808SD
945 TEL Furnace Alpha-808SD
946 TEL Furnace Alpha-8S-C
947 TEL Furnace Alpha-8S-C
948 TEL Furnace Alpha-8S-E
949 TEL Furnace Alpha-8S-E
950 TEL Furnace Alpha-8S-E
951 TEL Furnace Alpha-8S-E
952 TEL Furnace Alpha-8S-E
953 TEL Furnace Alpha-8S-E
954 TEL Furnace Alpha-8S-E
955 TEL Furnace Alpha-8S-E
956 TEL Furnace Alpha-8S-E
957 TEL Furnace Alpha-8S-E
958 TEL Furnace Alpha-8S-E
959 TEL Furnace Alpha-8S-E
960 TEL Furnace Alpha-8S-Z
961 TEL Furnace Alpha-8S-ZVN
962 TEL Furnace FORMULA
963 TEL Furnace FORMULA
964 TEL Furnace FORMULA
965 TEL Track Mark2
966 TEL Track MarkIV
967 TEL Track ACT8
968 TEL Track ACT8
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 19 of 25 ID-5335-1-1
969 TEL Track ACT8
970 TEL Track ACT8
971 TEL Track LITHIUS
972 TEL Track LITHIUS i+
973 TEL Track Mark7
974 TEL Track Mark7
975 TEL Track Mark7
976 TEL Track Mark8
977 TEL Track Mark8
978 TEL Track Mark8
979 TEL Track Mark8
980 TEL Track Mark8
981 TEL Track Mark8
982 TEL Track Mark8
983 TEL Track Mark8
984 TEL Track Mark8
985 TEL Track Mark8
986 TEL Track Mark8
987 TEL Track Mark8
988 TEL Track Mark8
989 TEL Track Mark8
990 TEL Track Mark8
991 TEL Track ACT 8 Coater/Developer
992 TEL Track ACT 8 Coater/Developer
993 TEL Track ACT 8 Coater/Developer
994 TEL Track ACT 8 Coater/Developer
995 TEL Track ACT 8 Coater/Developer
996 TEL Track ACT 8 Coater/Developer
997 TEL Wafer Cleaning Certas
998 TEL Furnace Formula - Nitride
999 TEL Furnace Formula - Oxide
1000 TEL Track LITHIUS
1001 TEL Track MARK-7 Coater/Developer
1002 TEL Track MARK-7 Coater/Developer
1003 TEL Track MARK-8 Developer
1004 TEL Track MARK-8 Developer
1005 TEL Etch Telius SP Oxide Etch
1006 TEL Etch Telius SP Oxide Etch
1007 TEL Etch Telius SP Oxide Etch
1008 TEL Etch Telius SP Oxide Etch
1009 TEL Etch Telius SP Oxide Etch
1010 TEL Etch Telius SP Oxide Etch
1011 TEL Etch Telius SP Oxide Etch
1012 TEL Etch Telius SP Oxide Etch
1013 TEL Etch Telius SP Oxide Etch
1014 TEL Etch Telius SP Oxide Etch
1015 TEL Etch Telius SP Oxide Etch
1016 TEL CVD Trias
1017 TEL Etch Unity II 85 DRM
1018 TEL Etch Unity II 85 DRM
1019 TEL Etch Unity II 85 DRM
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 20 of 25 ID-5335-1-1
1020 TEL Etch Unity II 85 DRM
1021 TEL Etch Unity II 85 DRM
1022 TEL Etch Unity II 85 DRM
1023 TEL Etch Unity II 85 DRM
1024 TEL Etch Unity II 85 DRM
1025 TEL Etch Unity II 85 DRM
1026 TEL Etch Unity II 85 DRM
1027 TEL Etch Unity II 85 DRM
1028 TEL Etch Unity II 85 DRM
1029 TEL Etch Unity IIE 84 SCCM
1030 TEL Etch Unity IIE 84 SCCM
1031 TEL Etch Unity IIE 84 SCCM
1032 TEL Etch Unity IIE 84 SCCM
1033 TEL Etch Unity IIE 84 SCCM
1034 TEL Etch Unity IIE 85 DP
1035 TEL Etch Unity IIE 85 DP
1036 TEL Etch Unity IIE 85 SCCM
1037 TEL Etch Unity IIE 85 SCCM
1038 TEL Etch Unity IIE 88 DRM
1039 TEL Etch Unity ME 85 DRM
1040 TEL Etch UW200Z
1041 TEL Cleaning PR-300Z
1042 TEL Cleaning PR-300Z
1043 TEL Cleaning PR-300Z
1044 TEL Track ACT 8
1045 TEL Track Lithius
1046 TEL Track Lithius
1047 TEL Track MARK 8
1048 TEL Track MARK Vz
1049 TEL Track MARK Vz
1050 TEL Track MARK Vz
1051 TEL Track MARK Vz
1052 TEL Furnace ALPHA-303i
1053 TEL Furnace ALPHA-303i
1054 TEL Furnace ALPHA-303i-K
1055 TEL Furnace ALPHA-303i-K
1056 TEL Furnace ALPHA-303i-K
1057 TEL Furnace ALPHA-303i-K
1058 TEL Furnace ALPHA-303i-K
1059 TEL Furnace ALPHA-303i-K
1060 TEL Furnace ALPHA-303i-K
1061 TEL Furnace ALPHA-303i-K
1062 TEL Furnace ALPHA-303i-K
1063 TEL Furnace ALPHA-8S
1064 TEL Furnace ALPHA-8S
1065 TEL Furnace TELINDY PLUS
1066 TEL CVD TRIAS
1067 TEL CVD TRIAS
1068 TEL Etcher TE8400
1069 TEL Etcher UN85 SCCM_Depo
1070 TEL Etcher UNITY IIe 855SS
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 21 of 25 ID-5335-1-1
1071 TEL Furnace ALPHA-8SE
1072 TEL Furnace FORMULA
1073 TEL Furnace IW-6D
1074 TEL Prober 78S
1075 TEL Prober P-8
1076 TES CVD Challenger 300
1077 Thermawave Metrology Opti-Probe 2600
1078 Thermawave Metrology Opti-Probe 2600
1079 Thermawave Metrology Opti-Probe 2600
1080 Thermawave Metrology TP420
1081 Thermonics Furnace -
1082 TNT WET -
1083 TNT WET -
1084 TNT WET -
1085 TNTEC WET -
1086 TOK Etcher TCE-3822
1087 Tokyo Aircraft Instrument Inspection EDIS3000
1088 TOKYO AIRCRAFT MEASUREMENT Inspection MAC-92
1089 TEL Batch Wafer Processing Expedius
1090 TEL Batch Wafer Processing Expedius
1091 TEL Batch Wafer Processing Expedius
1092 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1093 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1094 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1095 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1096 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1097 TEL Metal CVD Trias Ti/TiN
1098 TEL Metal CVD Trias Ti/TiN
1099 TEL Batch Wafer Processing Expedius
1100 TEL Batch Wafer Processing Expedius
1101 TEL Batch Wafer Processing Expedius
1102 TEL Batch Wafer Processing Expedius
1103 TEL Batch Wafer Processing Expedius
1104 TEL Batch Wafer Processing Expedius
1105 TEL Batch Wafer Processing Expedius
1106 TEL Batch Wafer Processing Expedius
1107 TEL Batch Wafer Processing Expedius
1108 TEL Batch Wafer Processing Expedius+
1109 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1110 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1111 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1112 TEL Batch Wafer Processing Expedius
1113 TEL Batch Wafer Processing Expedius
1114 TEL Batch Wafer Processing Expedius
1115 TEL Batch Wafer Processing Expedius
1116 TEL Batch Wafer Processing Expedius+
1117 TEL Batch Wafer Processing Expedius+
1118 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1119 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1120 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1121 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 22 of 25 ID-5335-1-1
1122 TEL Dielectric Etch Telius SP 305 DRM
1123 TEL Metal CVD (Chemical Vapor Deposition) Trias Ti/TiN
1124 TEL Dielectric Etch Telius SP 305 DRM
1125 TEL Metal CVD Trias Ti/TiN
1126 TEL Metal CVD Trias Ti/TiN
1127 TEL Metal CVD Trias Ti/TiN
1128 TEL Metal CVD Trias Ti/TiN
1129 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1130 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1131 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1132 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1133 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1134 TEL Metal CVD Trias Ti/TiN
1135 TEL Batch Wafer Processing Expedius
1136 TEL Dielectric Etch Telius SP-305 SCCM
1137 TEL Dielectric Etch Telius SP-305 SCCM
1138 TEL Batch Wafer Processing Expedius
1139 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1140 TEL Vertical Anneal Furnace TELINDY-B
1141 TEL Metal CVD Trias Ti/TiN
1142 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1143 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1144 TEL Single Wafer Processing Cellesta+
1145 TEL Batch Wafer Processing PR300Z
1146 TEL Dielectric Etch Telius SP-305 SCCM
1147 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1148 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1149 TEL Dielectric Etch Telius SP 305 DRM
1150 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1151 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1152 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1153 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1154 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1155 TEL Vertical Anneal Furnace ALPHA-303i Anneal
1156 TEL Vertical Anneal Furnace ALPHA-303i Anneal
1157 TEL Vertical Anneal Furnace TELINDY-B
1158 TEL Vertical LPCVD Furnace TELINDY
1159 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1160 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1161 TEL Vertical LPCVD Furnace TELINDY
1162 TEL Vertical LPCVD Furnace TELINDY Oxide
1163 TEL Batch Wafer Processing Expedius+
1164 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1165 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1166 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1167 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1168 TEL Batch Wafer Processing PR300Z
1169 TEL Batch Wafer Processing Expedius+
1170 TEL Batch Wafer Processing Expedius+
1171 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1172 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 23 of 25 ID-5335-1-1
1173 TEL Wafer Bonder Synapse Y
1174 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1175 TEL Vertical LPCVD Furnace TELINDY ALD High-K
1176 TEL Vertical LPCVD Furnace TELINDY ALD High-K
1177 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1178 TEL Vertical LPCVD Furnace TELINDY ALD High-K
1179 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1180 TEL Batch Wafer Processing PR300Z
1181 TEL Parts/Peripherals Trias Chamber
1182 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1183 TEL Batch Wafer Processing Expedius
1184 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1185 TEL Vertical LPCVD Furnace TELINDY Oxide
1186 TEL Dielectric Etch Telius SP 305 DRM
1187 TEL Dielectric Etch Telius SP-305 SCCM
1188 TEL Batch Wafer Processing Expedius+
1189 TEL Multi Block (Resist Coater/Developer) CLEAN TRACK LITHIUS Pro i
1190 TEL Vertical Furnace - Other TELINDY Plus ALD High-K
1191 TEL Single Block (Coat/Develop) CLEAN TRACK LITHIUS
1192 TEL Vertical LPCVD Furnace TELINDY Plus Nitride
1193 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1194 TEL Vertical Anneal Furnace ALPHA-303i Anneal
1195 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1196 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1197 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K
1198 TEL Vertical LPCVD Furnace TELINDY ALD High-K
1199 TEL Production Wafer Prober Precio
1200 TEL Production Wafer Prober Precio
1201 TEL Production Wafer Prober Precio
1202 TEL Production Wafer Prober Precio
1203 TEL Metal CVD Trias Ti/TiN
1204 TEL Dielectric Etch Telius SP-305 SCCM
1205 TEL Batch Wafer Processing Expedius
1206 TEL Batch Wafer Processing Expedius
1207 TEL Batch Wafer Processing Expedius
1208 TEL Batch Wafer Processing Expedius
1209 Topcon Inspection VI-3200
1210 Topcon Technologies Metrology MI-5080
1211 Topcon Technologies Metrology MI-5080
1212 Toray Engineering Inspection INSPECTRA 1000EX-II300
1213 Toray Engineering Other VE-500RBC
1214 Ultratech Stepper 1500
1215 Ultratech Stepper 1500
1216 Ultratech Stepper 1500
1217 Ultratech Stepper 1500
1218 Ultratech Stepper 1500
1219 Ultratech Stepper 1500
1220 Ultratech Stepper 1500
1221 Ultratech Stepper 1500
1222 Ultratech Stepper 1500
1223 Ultratech Stepper 1500
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 24 of 25 ID-5335-1-1
1224 Ultratech Stepper 1500
1225 Ultratech Stepper 1500
1226 Ultratech Stepper 1500
1227 Ultratech Stepper 1500
1228 Ultratech Stepper 1500
1229 Ultratech Stepper UNITY AP300
1230 Ultratech Stepper 1500
1231 ULVAC Asher RISE-200
1232 ULVAC PVD Ceraus Z-1000
1233 ULVAC PVD Ceraus ZX-1000
1234 ULVAC PVD Ceraus ZX-1000
1235 ULVAC PVD Ceraus ZX-1000
1236 ULVAC PVD Ceraus ZX-1000
1237 ULVAC PVD Ceraus ZX-1000
1238 ULVAC PVD Ceraus ZX-1000
1239 ULVAC PVD Entron EX
1240 ULVAC PVD Entron EX W300
1241 ULVAC PVD Entron EX W300
1242 ULVAC PVD Entron S
1243 ULVAC PVD Entron T
1244 ULVAC PVD CERAUS Z-1000
1245 ULVAC PVD CERAUS Z-1000
1246 ULVAC PVD Entron-EX
1247 UNISEM Others -
1248 UNISEM Others -
1249 Ushio Track UMA-1002-HC93FS
1250 Ushio Track UMA-1002-HC93FWL
1251 Ushio UV Cure System UMA-2003
1252 Ushio UV Cure System UMA-2003
1253 Ushio UV Cure System UMA-2003
1254 Ushio UV Cure System UMA-2003
1255 Ushio Bake UMA-2003
1256 Ushio Bake UV-CURE UNIHARD
1257 Varian Implanter E220
1258 Varian Implanter VIISion80
1259 Varian Implanter VIISta PLAD
1260 Varian Implanter VIISta 810XP
1261 Varian Implanter VIISta 810XP
1262 Veeco Metrology UVX310
1263 Veeco Metrology V200
1264 Veeco Inspection Dektak-3ST
1265 Vitronics/Soltec Reflow Ovens SMR400
1266 WONIK IPS CVD Bluetain
1267 X-Tek X-Ray Inspection System Orbita 160Xi
1268 Yield Engineering System Others YES-5
1269 Yield Engineering System Others YES-5E
1270 Yield Engineering System Others YES-5E
1271 ZEUS WET -
Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com
Please email us for the availability. These are subject to prior sale. 25 of 25 ID-5335-1-1

More Related Content

What's hot

training file rockman industries luhdhiana
training file rockman industries luhdhianatraining file rockman industries luhdhiana
training file rockman industries luhdhianaAnish Bhadhur
 
Pedal opertaed cellphone charger
Pedal opertaed cellphone chargerPedal opertaed cellphone charger
Pedal opertaed cellphone chargerAayush vohra
 
DESIGN AND COMPARATIVE ANALYSIS OF OLD & NEW MODEL CAR WHEEL RIMS WITH VARIOU...
DESIGN AND COMPARATIVE ANALYSIS OF OLD & NEW MODEL CAR WHEEL RIMS WITH VARIOU...DESIGN AND COMPARATIVE ANALYSIS OF OLD & NEW MODEL CAR WHEEL RIMS WITH VARIOU...
DESIGN AND COMPARATIVE ANALYSIS OF OLD & NEW MODEL CAR WHEEL RIMS WITH VARIOU...Journal For Research
 
Volvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementVolvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementAnsys
 
Design and fabrication of Automatic Trolley
Design and fabrication of Automatic TrolleyDesign and fabrication of Automatic Trolley
Design and fabrication of Automatic TrolleyAyush Rawat
 
A way to reduce mass of gearbox housing
A way to reduce mass of gearbox housingA way to reduce mass of gearbox housing
A way to reduce mass of gearbox housingAltair
 
Self balancing vehicle.ppt BY -DINESH KR. JAIPUR
Self balancing vehicle.ppt BY -DINESH KR. JAIPURSelf balancing vehicle.ppt BY -DINESH KR. JAIPUR
Self balancing vehicle.ppt BY -DINESH KR. JAIPURbasaith
 
Project Report for Automated Guided Vehicle
Project Report for Automated Guided VehicleProject Report for Automated Guided Vehicle
Project Report for Automated Guided VehicleSOORAJ V R
 
Design and analysis of knuckle and hub of FSAE race car
Design and analysis of knuckle and hub of FSAE race carDesign and analysis of knuckle and hub of FSAE race car
Design and analysis of knuckle and hub of FSAE race carSangram Pisat
 
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...system_plus
 
BHEL summer internship report
BHEL summer internship reportBHEL summer internship report
BHEL summer internship reportShivam Teotia
 
Status of the Power Electronics Industry 2019 by Yole Développement
Status of the Power Electronics Industry 2019 by Yole DéveloppementStatus of the Power Electronics Industry 2019 by Yole Développement
Status of the Power Electronics Industry 2019 by Yole DéveloppementYole Developpement
 
GaN and SiC for power electronics applications 2015 Report by Yole Developpement
GaN and SiC for power electronics applications 2015 Report by Yole DeveloppementGaN and SiC for power electronics applications 2015 Report by Yole Developpement
GaN and SiC for power electronics applications 2015 Report by Yole DeveloppementYole Developpement
 
Ppt on hyperloop
Ppt on hyperloopPpt on hyperloop
Ppt on hyperloopAtul1432
 
Final m.tech ppt_praveen
Final m.tech ppt_praveenFinal m.tech ppt_praveen
Final m.tech ppt_praveenpraveen dwivedi
 
Synopsis of project FOUR WHEELS STEERING SYSTEM
Synopsis of project FOUR WHEELS STEERING SYSTEMSynopsis of project FOUR WHEELS STEERING SYSTEM
Synopsis of project FOUR WHEELS STEERING SYSTEMParvind Gupta
 
DESIGN AND MANUFACTURING OF SPM FOR BRAKE WHEEL CYLINDER
DESIGN AND MANUFACTURING OF SPM FOR BRAKE WHEEL CYLINDERDESIGN AND MANUFACTURING OF SPM FOR BRAKE WHEEL CYLINDER
DESIGN AND MANUFACTURING OF SPM FOR BRAKE WHEEL CYLINDERAkshay Deshpande
 

What's hot (20)

training file rockman industries luhdhiana
training file rockman industries luhdhianatraining file rockman industries luhdhiana
training file rockman industries luhdhiana
 
Pedal opertaed cellphone charger
Pedal opertaed cellphone chargerPedal opertaed cellphone charger
Pedal opertaed cellphone charger
 
DESIGN AND COMPARATIVE ANALYSIS OF OLD & NEW MODEL CAR WHEEL RIMS WITH VARIOU...
DESIGN AND COMPARATIVE ANALYSIS OF OLD & NEW MODEL CAR WHEEL RIMS WITH VARIOU...DESIGN AND COMPARATIVE ANALYSIS OF OLD & NEW MODEL CAR WHEEL RIMS WITH VARIOU...
DESIGN AND COMPARATIVE ANALYSIS OF OLD & NEW MODEL CAR WHEEL RIMS WITH VARIOU...
 
Volvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna PlacementVolvo Trucks GPS Antenna Placement
Volvo Trucks GPS Antenna Placement
 
Design and fabrication of Automatic Trolley
Design and fabrication of Automatic TrolleyDesign and fabrication of Automatic Trolley
Design and fabrication of Automatic Trolley
 
A way to reduce mass of gearbox housing
A way to reduce mass of gearbox housingA way to reduce mass of gearbox housing
A way to reduce mass of gearbox housing
 
Self balancing vehicle.ppt BY -DINESH KR. JAIPUR
Self balancing vehicle.ppt BY -DINESH KR. JAIPURSelf balancing vehicle.ppt BY -DINESH KR. JAIPUR
Self balancing vehicle.ppt BY -DINESH KR. JAIPUR
 
final report
final reportfinal report
final report
 
Project Report for Automated Guided Vehicle
Project Report for Automated Guided VehicleProject Report for Automated Guided Vehicle
Project Report for Automated Guided Vehicle
 
Design and analysis of knuckle and hub of FSAE race car
Design and analysis of knuckle and hub of FSAE race carDesign and analysis of knuckle and hub of FSAE race car
Design and analysis of knuckle and hub of FSAE race car
 
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...
GaN Systems GS61004B GaN HEMT 2018 teardown reverse costing report published ...
 
BHEL summer internship report
BHEL summer internship reportBHEL summer internship report
BHEL summer internship report
 
Status of the Power Electronics Industry 2019 by Yole Développement
Status of the Power Electronics Industry 2019 by Yole DéveloppementStatus of the Power Electronics Industry 2019 by Yole Développement
Status of the Power Electronics Industry 2019 by Yole Développement
 
GaN and SiC for power electronics applications 2015 Report by Yole Developpement
GaN and SiC for power electronics applications 2015 Report by Yole DeveloppementGaN and SiC for power electronics applications 2015 Report by Yole Developpement
GaN and SiC for power electronics applications 2015 Report by Yole Developpement
 
INTERNSHIP REPORT
INTERNSHIP REPORTINTERNSHIP REPORT
INTERNSHIP REPORT
 
AntenehT. CV.
AntenehT. CV.AntenehT. CV.
AntenehT. CV.
 
Ppt on hyperloop
Ppt on hyperloopPpt on hyperloop
Ppt on hyperloop
 
Final m.tech ppt_praveen
Final m.tech ppt_praveenFinal m.tech ppt_praveen
Final m.tech ppt_praveen
 
Synopsis of project FOUR WHEELS STEERING SYSTEM
Synopsis of project FOUR WHEELS STEERING SYSTEMSynopsis of project FOUR WHEELS STEERING SYSTEM
Synopsis of project FOUR WHEELS STEERING SYSTEM
 
DESIGN AND MANUFACTURING OF SPM FOR BRAKE WHEEL CYLINDER
DESIGN AND MANUFACTURING OF SPM FOR BRAKE WHEEL CYLINDERDESIGN AND MANUFACTURING OF SPM FOR BRAKE WHEEL CYLINDER
DESIGN AND MANUFACTURING OF SPM FOR BRAKE WHEEL CYLINDER
 

Similar to Semiconductor Equipment List - ID-5335-1-1

Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684Emily Tan
 
Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Emily Tan
 
Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Emily Tan
 
Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List Emily Tan
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi starEmily Tan
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Emily Tan
 
Semiconductor equipment may 20th 2020 3
Semiconductor equipment may 20th 2020  3Semiconductor equipment may 20th 2020  3
Semiconductor equipment may 20th 2020 3Emily Tan
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corpEmily Tan
 
semiconductor equipment and spare parts AMAT
semiconductor equipment and spare parts AMATsemiconductor equipment and spare parts AMAT
semiconductor equipment and spare parts AMATEmily Tan
 
LeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPicLeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPicIstvan Fekete
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryEmily Tan
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Emily Tan
 
Semistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202CSemistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202CEmily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Emily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsEmily Tan
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment InventoryEmily Tan
 
WEG Contactors Oveload Relays
WEG Contactors Oveload RelaysWEG Contactors Oveload Relays
WEG Contactors Oveload RelaysAllan Bernardino
 
Acrobat document4
Acrobat document4Acrobat document4
Acrobat document4esregroup
 
Semiconductor equipment parts in stock march 2020 part 2
Semiconductor equipment parts in stock march 2020   part 2Semiconductor equipment parts in stock march 2020   part 2
Semiconductor equipment parts in stock march 2020 part 2Emily Tan
 
Sigma Presentation
Sigma PresentationSigma Presentation
Sigma Presentationwilcarjunho
 

Similar to Semiconductor Equipment List - ID-5335-1-1 (20)

Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684
 
Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020
 
Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1
 
Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi star
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Semiconductor equipment may 20th 2020 3
Semiconductor equipment may 20th 2020  3Semiconductor equipment may 20th 2020  3
Semiconductor equipment may 20th 2020 3
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
 
semiconductor equipment and spare parts AMAT
semiconductor equipment and spare parts AMATsemiconductor equipment and spare parts AMAT
semiconductor equipment and spare parts AMAT
 
LeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPicLeanValueStreamOrg-wKanban-FeketeIstvanPic
LeanValueStreamOrg-wKanban-FeketeIstvanPic
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141
 
Semistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202CSemistar Corp main semiconductor equipment parts inventory may 202C
Semistar Corp main semiconductor equipment parts inventory may 202C
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
WEG Contactors Oveload Relays
WEG Contactors Oveload RelaysWEG Contactors Oveload Relays
WEG Contactors Oveload Relays
 
Acrobat document4
Acrobat document4Acrobat document4
Acrobat document4
 
Semiconductor equipment parts in stock march 2020 part 2
Semiconductor equipment parts in stock march 2020   part 2Semiconductor equipment parts in stock march 2020   part 2
Semiconductor equipment parts in stock march 2020 part 2
 
Sigma Presentation
Sigma PresentationSigma Presentation
Sigma Presentation
 

More from Emily Tan

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipmentEmily Tan
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentEmily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipmentEmily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipmentEmily Tan
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrumentEmily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipmentEmily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipmentEmily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipmentEmily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipmentEmily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEmily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEmily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMEmily Tan
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor EquipmentEmily Tan
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on saleEmily Tan
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaEmily Tan
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaEmily Tan
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceEmily Tan
 
Tester Equipment Parts Inventory
Tester Equipment Parts InventoryTester Equipment Parts Inventory
Tester Equipment Parts InventoryEmily Tan
 
Four dimensions 280 Four-Point Probe Mapping System
Four dimensions 280 Four-Point Probe Mapping SystemFour dimensions 280 Four-Point Probe Mapping System
Four dimensions 280 Four-Point Probe Mapping SystemEmily Tan
 
Plasma Cleaning Plasma treatment system March plasma system March AP-1000
Plasma Cleaning Plasma treatment system March plasma system March AP-1000Plasma Cleaning Plasma treatment system March plasma system March AP-1000
Plasma Cleaning Plasma treatment system March plasma system March AP-1000Emily Tan
 

More from Emily Tan (20)

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
 
Semiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd SourceSemiconductor Equipment Parts 2nd Source
Semiconductor Equipment Parts 2nd Source
 
Tester Equipment Parts Inventory
Tester Equipment Parts InventoryTester Equipment Parts Inventory
Tester Equipment Parts Inventory
 
Four dimensions 280 Four-Point Probe Mapping System
Four dimensions 280 Four-Point Probe Mapping SystemFour dimensions 280 Four-Point Probe Mapping System
Four dimensions 280 Four-Point Probe Mapping System
 
Plasma Cleaning Plasma treatment system March plasma system March AP-1000
Plasma Cleaning Plasma treatment system March plasma system March AP-1000Plasma Cleaning Plasma treatment system March plasma system March AP-1000
Plasma Cleaning Plasma treatment system March plasma system March AP-1000
 

Recently uploaded

Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processorsdebabhi2
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking MenDelhi Call girls
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationMichael W. Hawkins
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptxHampshireHUG
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreternaman860154
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘RTylerCroy
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdfhans926745
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024Rafal Los
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEarley Information Science
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfEnterprise Knowledge
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?Antenna Manufacturer Coco
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking MenDelhi Call girls
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationRadu Cotescu
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Miguel Araújo
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024The Digital Insurer
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024Results
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?Igalia
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Enterprise Knowledge
 

Recently uploaded (20)

Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men08448380779 Call Girls In Greater Kailash - I Women Seeking Men
08448380779 Call Girls In Greater Kailash - I Women Seeking Men
 
GenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day PresentationGenCyber Cyber Security Day Presentation
GenCyber Cyber Security Day Presentation
 
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
04-2024-HHUG-Sales-and-Marketing-Alignment.pptx
 
Presentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreterPresentation on how to chat with PDF using ChatGPT code interpreter
Presentation on how to chat with PDF using ChatGPT code interpreter
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024The 7 Things I Know About Cyber Security After 25 Years | April 2024
The 7 Things I Know About Cyber Security After 25 Years | April 2024
 
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptxEIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
EIS-Webinar-Prompt-Knowledge-Eng-2024-04-08.pptx
 
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdfThe Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
The Role of Taxonomy and Ontology in Semantic Layers - Heather Hedden.pdf
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men08448380779 Call Girls In Friends Colony Women Seeking Men
08448380779 Call Girls In Friends Colony Women Seeking Men
 
Scaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organizationScaling API-first – The story of a global engineering organization
Scaling API-first – The story of a global engineering organization
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law DevelopmentsTrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
TrustArc Webinar - Stay Ahead of US State Data Privacy Law Developments
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024A Call to Action for Generative AI in 2024
A Call to Action for Generative AI in 2024
 
A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?A Year of the Servo Reboot: Where Are We Now?
A Year of the Servo Reboot: Where Are We Now?
 
Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...Driving Behavioral Change for Information Management through Data-Driven Gree...
Driving Behavioral Change for Information Management through Data-Driven Gree...
 

Semiconductor Equipment List - ID-5335-1-1

  • 1. No OEM Process Model 1 Accretech/TSK Production Wafer Prober UF3000 2 Accretech/TSK Production Wafer Prober UF3000 3 Accretech/TSK Production Wafer Prober UF3000 4 Accretech/TSK Production Wafer Prober UF3000 5 Accretech/TSK Production Wafer Prober UF3000 6 Accretech/TSK Production Wafer Prober UF3000 7 Accretech/TSK Production Wafer Prober UF3000 8 Accretech/TSK Production Wafer Prober UF3000 9 Accretech/TSK Production Wafer Prober UF3000 10 Accretech/TSK Production Wafer Prober UF3000 11 Accretech/TSK Production Wafer Prober UF3000 12 Accretech/TSK Production Wafer Prober UF3000 13 Accretech/TSK Production Wafer Prober UF3000 14 Accretech/TSK Production Wafer Prober UF3000 15 Accretech/TSK Production Wafer Prober UF3000 16 Accretech/TSK Production Wafer Prober UF3000 17 Accretech/TSK Production Wafer Prober UF3000 18 Accretech/TSK Production Wafer Prober UF3000 19 Active Technologies Tester RIFLE 20 Active Technologies Tester RIFLE 21 Active Technologies Tester RIFLE 22 ADE Metrology WaferSight 23 Advantest Digital Multimeter R6552T 24 Advantest Pick & Place Memory Handler M6771AD 25 Advantest Pick & Place Memory Handler M6771AD 26 Advantest Pick & Place Memory Handler M6771AD 27 Advantest Pick & Place Memory Handler M6771AD 28 Advantest Memory Tester T5377 29 Advantest Memory Tester T5377 30 Advantest Memory Tester T5377 31 Advantest Memory Tester T5377 32 Advantest Memory Tester T5377 33 Advantest Memory Tester T5377 34 Advantest Memory Tester T5377 35 Advantest Memory Tester T5377 36 Advantest Memory Tester T5377 37 Advantest Memory Tester T5377 38 Advantest Memory Tester T5377 39 Advantest Memory Tester T5377 40 Advantest Memory Tester T5377 41 Advantest Memory Tester T5377 42 AG Associates RTP HeatPulse 4100 43 Agilent Technologies Inspection 4156A 44 Agilent Technologies Inspection FTS-175C 45 Agilent Technologies Tester 4062F 46 Aixtron CVD Crius 47 Aixtron CVD Crius 48 Aixtron CVD Crius 49 Akrion Batch Wafer Processing GAMA 50 Alcatel Deep Reactive Ion Etch (DRIE) AMS 4200 Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 1 of 25 ID-5335-1-1
  • 2. 51 AMAT CMP Fabs 52 AMAT CMP Reflexion 53 AMAT CVD Centura 4.0 54 AMAT CVD Centura 4.0 55 AMAT CVD Centura 4.0 56 AMAT CVD Centura AP Frame 57 AMAT CVD Centura DxZ 58 AMAT CVD Centura EPI 59 AMAT CVD Centura TAO 60 AMAT CVD Centura Ultima 61 AMAT CVD Centura Ultima HDP 62 AMAT CVD Centura Ultima HDP 63 AMAT CVD Centura Ultima HDP 64 AMAT CVD Centura Ultima Plus 65 AMAT CVD Centura Ultima X 66 AMAT CVD N_LIGHTEN 67 AMAT CVD P-5000 68 AMAT CVD P-5000 69 AMAT CVD P-5000 70 AMAT CVD P-5000 71 AMAT CVD P-5000 72 AMAT CVD P-5000 73 AMAT CVD P-5000 74 AMAT CVD P-5000 75 AMAT CVD P-5000 76 AMAT CVD P-5000 77 AMAT CVD P-5000 78 AMAT CVD P-5000 79 AMAT CVD P-5000 80 AMAT CVD P-5000 81 AMAT CVD P-5000 82 AMAT CVD Producer GT 83 AMAT CVD Producer GT 84 AMAT CVD Producer GT 85 AMAT CVD Producer GT 86 AMAT CVD Producer SE 87 AMAT CVD Producer SE 88 AMAT CVD Producer SE 89 AMAT CVD Producer SE 90 AMAT CVD Producer SE 91 AMAT CVD Producer SE 92 AMAT CVD Producer SE 93 AMAT CVD Producer SE 94 AMAT Etch Centura 5200 95 AMAT Etch Centura DPS G2 96 AMAT Etch Centura DPS G2 97 AMAT Etch Centura DPS G5 98 AMAT Etch Centura DPS G5 99 AMAT Etch Centura DPS G5 100 AMAT Etch Centura DPS G5 MESA 101 AMAT Etch Centura DPS R1 Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 2 of 25 ID-5335-1-1
  • 3. 102 AMAT Etch Centura DPS+ 103 AMAT Etch Centura DPS+ 104 AMAT Etch Centura DPS+ 105 AMAT Etch Centura DPS+ 106 AMAT Etch Centura DPS2 107 AMAT Etch Centura DPS532 108 AMAT Etch Centura eMax CT 109 AMAT Etch Centura Enabler 110 AMAT Etch Centura Enabler 111 AMAT Etch Centura Enabler 112 AMAT Etch Centura Enabler 113 AMAT Etch Centura Super E 114 AMAT Etch Centura1 MXP 115 AMAT Etch Centura1 MXP 116 AMAT Etch DPS Extra Chamber 117 AMAT Etch ENABLER_E2 118 AMAT Etch MARIANA(Chamber) 119 AMAT Etch P-5000 120 AMAT Etch P-5000 121 AMAT Etch P-5000 122 AMAT Etch P-5000 123 AMAT Etch P-5000 124 AMAT Etch P-5000 125 AMAT Etch P-5000 126 AMAT Etch P-5000 127 AMAT Etch P-5000 128 AMAT Etch P-5000 129 AMAT Etch P-5000 130 AMAT Etch P-5000 131 AMAT Etch P-5000 132 AMAT Implant P3I 133 AMAT Metrology COMPASS 300 134 AMAT Metrology ComPLUS MP 135 AMAT Metrology SemVision CX 136 AMAT Metrology SEMVision G2 137 AMAT Metrology SEMVision G3 138 AMAT Metrology SEMVision G3 139 AMAT Metrology WF720 140 AMAT PVD Endura CL 141 AMAT PVD Endura CL 142 AMAT PVD Endura2 143 AMAT PVD Endura2 144 AMAT PVD EnduraCL CVD AL 145 AMAT RTP AMC7800 146 AMAT RTP AMC7800 147 AMAT RTP AMC7800RPX 148 AMAT RTP AMC7811 149 AMAT RTP AMC7821 150 AMAT RTP AMC7821 151 AMAT RTP Centura 5200 MOD1 152 AMAT RTP Centura DPN Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 3 of 25 ID-5335-1-1
  • 4. 153 AMAT RTP Centura DPN 154 AMAT RTP Centura Radiance 155 AMAT RTP Centura Radiance 156 AMAT RTP Centura Radiance 157 AMAT CVD Centura SiNgen Plus 158 AMAT CVD Producer SE 159 AMAT CVD Producer SE 160 AMAT CVD Producer SE 161 AMAT PVD ELECTRA ECP 162 AMAT PVD Endura II 163 Anelva Corp. PVD Cosmos II I-1201CX PVD Cu Barrier/Seed 164 AMAT HDP CVD Centura AP Ultima X 165 AMAT Etch Centura AP Enabler 166 AMAT Etch Centura AP Enabler 167 AMAT Etch Centura AP Enabler 168 AMAT Metrology VeritySEM 2 169 AMAT PVD Endura 300 Aluminum Interconnect 170 AMAT Etch Centura AP DPS II Polysilicon 171 AMAT Etch Centura AP DPS II Polysilicon 172 AMAT Etch Centura AP Enabler 173 AMAT Metrology Uvision 200 174 AMAT Metrology SEMVision ADC Server 175 AMAT Darkfield Inspection ComPLUS 3T 176 AMAT Darkfield Inspection ComPLUS 3T 177 AMAT Etch Centura AP Enabler 178 AMAT Brightfield Inspection Uvision 3 179 AMAT Metal Etch Centura AP Axiom HT Chamber 180 AMAT PECVD Producer GT 181 AMAT HDP CVD Centura AP Ultima X 182 AMAT HDP CVD Centura AP Ultima X 183 AMAT PECVD Producer GT 184 AMAT Metrology VeritySEM 3 185 AMAT Metrology VeritySEM 2 186 AMAT Metrology VeritySEM 3 187 AMAT Metrology VeritySEM 2 188 AMAT Metrology VeritySEM 2 189 AMAT Metrology VeritySEM 2 190 AMAT Metrology VeritySEM 3 191 AMAT Metal Etch Opus AdvantEdge 192 AMAT Implant Quantum X Plus 193 AMAT SACVD Producer SE SACVD 194 AMAT CMP Reflexion LK 195 AMAT Darkfield Inspection ComPLUS 4T 196 AMAT Metrology VeritySEM 2 197 AMAT SACVD Producer SE SACVD 198 AMAT PECVD Producer GT Eterna FCVD 199 AMAT Implanter Quantum X Plus 200 AMAT SACVD Producer SE SACVD 201 AMAT PECVD Producer SE APF 202 AMAT Brightfield Inspection Uvision 5 203 AMAT Metrology VeritySEM 2 Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 4 of 25 ID-5335-1-1
  • 5. 204 AMAT Etch Centura 5200 Poly Etch DPS Plus 205 AMAT Etch Centura AP Axiom Strip Chamber 206 AMAT Etch Centura AP Enabler Chamber 207 AMAT Etch Centura AP HART Etch 208 AMAT Etch Centura AP HART Etch 209 AMAT Etch Centura AP Oxide Etch eMax CT chamber 210 AMAT Etch Centura AP Oxide Etch eMax CT plus chamber 211 AMAT Etch Centura AP Oxide Etch eMax CT3 chamber 212 AMAT CVD Centura Multi-Process CVD 213 AMAT Metrology Compass 214 AMAT Metrology Compass Pro 215 AMAT Metrology Compass Pro 216 AMAT Metrology Compass Pro 217 AMAT Metrology NanoSEM 218 AMAT Metrology NanoSEM 219 AMAT Metrology NanoSEM 3D 220 AMAT CVD P5000 Multi-Process CVD 221 AMAT CVD P5000 Multi-Process CVD 222 AMAT CVD P5000 Multi-Process CVD 223 AMAT CVD P5000 PECVD 224 AMAT CVD P5000 TEOS 225 AMAT CVD P5000 TEOS 226 Applied Precision Probe Card Analyzer Precision Point VX2 Extension 227 ASM CVD Dragon 2300 228 ASM CVD Eagle 10 229 ASM CVD Eagle 10 230 ASM CVD Eagle 12 231 ASM CVD PXJ-200 232 ASM CVD Eagle-12 233 ASM CVD Eagle-12 234 ASM CVD Eagle-12 235 ASM CVD Eagle-XP 236 ASM International Vertical LPCVD Furnace A412 Doped Poly 237 ASM International Vertical LPCVD Furnace A412 Doped Poly 238 ASM International EPI Epsilon E2000 239 ASML Scanner Twinscan XT1900Gi 240 ASML Stepper PAS 5500/100D 241 ASML Stepper PAS 5500/200B 242 ASML Stepper PAS 5500/250C 243 ASML Scanner TWINSCAN XT:1950Hi 244 ASML Stepper PAS 5500/300C 245 Asyst Shinko Wafer Transport VHT9-2-1 246 Asyst Shinko Wafer Transport VHS1 247 Asyst Technologies, Inc. SMIF SMIF->Open Adaptor Plates (PN:9700- 3375-01) 248 Asyst Technologies, Inc. SMIF SMIF->Open Adaptor Plates (PN:9700- 3375-01) 249 Asyst Technologies, Inc. SMIF SMIF->Open Adaptor Plates (PN:9700- 3375-01) 250 ATIS WET DE-WAX wet station 251 ATIS WET RE-WORK wet station 252 ATIS WET SOLVENT wet station 253 ATIS WET WET etching 254 ATMI Track Ultra 6000 Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 5 of 25 ID-5335-1-1
  • 6. 255 ATMI Track Ultra 6000 256 ATMI Track Vector Ultra XSI 257 Aviza ALD Celsior 258 Aviza ALD Pantheon 259 Axcelis Track Rapid Cure320FC Radiator 260 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320 261 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320 262 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320 263 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320 264 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320 265 Axcelis Technologies Inc. Stripper/Asher Radiantstrip 320 266 Axcelis Technologies Inc. Stripper/Asher RapidStrip 320 267 Axcelis Technologies Inc. Stripper/Asher RapidStrip 320 268 Axcelis Technologies Inc. Stripper/Asher RapidStrip 320 269 Axcelis(Eaton/Fusion) Bake 200PC 270 Axcelis(Eaton/Fusion) Bake 200PCU 271 Berg Chiller/Heat Exchanger PA-3-X 272 Blue M Others DCC 606 EMP550 273 BMR Etch - 274 BMR Etch - 275 BMR Etch - 276 Brooks Metrology Bright light 200 277 Brooks Metrology PRI7500 278 Brooks Automation, Inc. FOUP/FOSB Transfer DARTS 6-FOUP Buffer 279 Bruker Metrology Diffraktmeter D8 280 CANON Asher MAS1800 281 CANON Asher MAS-801HR 282 CANON Asher MAS-801HR 283 CANON CVD APT-4800 284 CANON Scanner FPA-5000ES3 285 CANON Scanner FPA-5000ES3 286 CANON Stepper FPA-5500iZ 287 CANON Stepper FPA-6000 ES6A 288 CANON Stepper FPA-6000 ES6A 289 CANON Stepper MPA-600SUPER 290 CANON Stripper/Asher Surpass 320 291 CANON Aligner PLA-600FA 292 CANON Asher MAS-8220 293 CANON Asher MAS-8220 294 CANON Asher MAS-8220 295 CANON CVD APT-4800 296 CANON CVD APT-4800 297 CANON Stepper FPA-6000ES6a 298 Canon Machinary Bonder BESTEM-D01R 299 Canon Machinary Bonder BESTEM-D01R 300 Canon Machinary Bonder BESTEM-D01R 301 Canon Machinary Bonder BESTEM-D01R 302 Canon Machinary Bonder BESTEM-D01R 303 Canon Machinary Bonder BESTEM-D10SP 304 Canon Machinary Bonder BESTEM-D10SP 305 Canon Machinary Bonder BESTEM-D10SP Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 6 of 25 ID-5335-1-1
  • 7. 306 Canon Machinary Bonder BESTEM-D10SP 307 Canon Machinary Bonder BESTEM-D10SP 308 Carrier Chiller/Heat Exchanger 19EX 309 CI Science Etch TORUS-200 310 CI Science Track Charm2000 311 Crest Ultrasonics Ultrasonic Cleaner 0C4-1622-HE, CTS-2000 312 CyberOptics Corporation Solder Paste Inspection SE 300 313 Cymer Scanner ELS-5400 314 Cymer Scanner ELS-5410 315 DAN Batch Wafer Processing FC-3000 316 DAN Batch Wafer Processing FC-3000 317 DAN Batch Wafer Processing FC-3000 318 DAN Batch Wafer Processing FC-3000 319 DAN Batch Wafer Processing FC-3000 320 DAN Single Wafer Processing MP-3000 321 DAN Single Wafer Processing MP-3000 322 DAN Batch Wafer Processing FC-3000 323 DAN Others SCOV8594 324 DNS CMP AS2000 325 DNS RTP LA820 326 DNS RTP LA820 327 DNS RTP LA-W820-A 328 DNS Track SS-3000-A 329 DNS Track SS-3000-A 330 DNS Track SS-3000-A 331 DNS Track SS-3000-A 332 DNS Track SS-3000-A 333 DNS Track SS-3000-A 334 DNS Track SS-3000-A 335 DNS Track SS-3000-A 336 DNS Track SS-3000-AR 337 DNS Track SS-3000-AR 338 DNS Track SS-3000-AR 339 DNS WET FC-3000 340 DNS WET MP-3000 341 DNS CMP FL-820L 342 DNS Track SD-W60A-AVP 343 DNS Track SD-W60A-AVP 344 DNS Track SK-2000 345 DNS Annealer LA820 346 DNS Cleaning MP-3000 347 DNS Cleaning MP-3000 348 DNS Cleaning MP-3000 349 DNS Cleaning SU-3000 350 DNS Track SC-200W-AV 351 DNS Track SC-80BW-AVG 352 DNS Track SC-80BW-AVP 353 DNS Track SC-W80A-AVG 354 DNS Track SC-W80A-AVG 355 DNS Track SD-200W-AVPE 356 DNS Track SD-200W-AVPE Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 7 of 25 ID-5335-1-1
  • 8. 357 DNS Track SD-200W-AVPE 358 DNS Track SD-200W-AVPE 359 DNS Track SD-80BW-AVQ 360 DNS Track SD-W200D-AVPE 361 DNS Track SK-2000-BVPEU 362 DNS Track SK-200W-AVPE 363 DNS Track SK-200W-AVPE 364 DNS Track SK-200W-AVPE 365 DNS Scrubber SR-2000 366 DNS Scrubber SS-W80A-AVR 367 DNS Scrubber SS-W80A-AVR 368 Dong-A Metrology LCM Inspector 369 EBARA CMP EPO222A 370 EBARA CMP EPO222A 371 EBARA CMP EPO222A 372 EBARA CMP EPO222A 373 EBARA CMP EPO222A 374 EBARA CMP EPO222A 375 EBARA CMP EPO222A 376 EBARA CMP EPO-223 377 EBARA CMP EPO-223 378 EBARA CMP EPO-223 379 EBARA CMP F-REX300S 380 EBARA CMP F-REX300S 381 Ebara CMP FREX300 382 Ebara CMP FREX300 383 Ebara CMP FREX300 384 Ebara CMP EPO-223 - Tungsten 385 Ebara CMP EPO-223 - Tungsten 386 Ebara CMP EPO-223 - Tungsten 387 Ebara Vacuum VRM23-7LX 388 Ebara Grinder EAC200bi 389 Ebara Other AA200 390 Ebara Other AA200 391 Ebara Other AA70 392 Ebara Other AA70 393 Edwards Abatement - Exhaust Management System Tempest 394 Ellipso Tech Metrology EM-SE-aM6 395 Ellipso Tech Metrology EM-SE-UaM8 396 Engersoll-Rand Compressed Air Dryer HRD4435EM-JSP 397 Engersoll-Rand Compressed Air Dryer HRD4435EM-JSP 398 ENI Others ENI GHW50A 399 ENI Others ENI GHW50A 400 ENI Others ENI GHW50A 401 ENI Others ENI GHW50A 402 ENI Others ENI GHW50A 403 ENI Others ENI GHW50A 404 ENI Others ENI GHW50A 405 Entegris FOUP FOUP 300mm 406 Entegris FOUP FOUP 300mm 407 Envirotronics Walk-in Cooler WP783-1-15-WC-RUM Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 8 of 25 ID-5335-1-1
  • 9. 408 Estek Metrology WIS 600 409 Estek Metrology WIS 600 410 Etamax Metrology PL Mapper 411 EV Group Wafer Bonding EVG 850DB 412 EV Group Wafer Bonding EVG 850TB 413 EV Group Wafer Bonding EVG 850TB 414 FEI Company Focused Ion Beam System Strata 400S 415 FEI Company Metrology DB820 416 First Ten Angstroms Life Sciences, Particle Analysis FTA2000 417 FRONTIER Inspection FSM128LC2C 418 FSI International Cleaning MERCURY 419 FSI International Wafer Cleaning Equipment Antares CX200 420 FSI International Wafer Cleaning Equipment Antares CX200 421 Fusion Track M150PC 422 Fusion Track M150PC 423 Fusion Track M150PC 424 Fusion Track M150PC 425 Fusion Track M150PCJ 426 Gasonics Asher PEP3510A 427 Gasonics Etch 3500 PEP series 428 Gasonics Etch PEP Iridia 429 Gasonics Etch PEP Iridia 430 Gasonics Etch PEP Iridia 431 Gasonics Etch PEP Iridia 432 Gasonics Etch PEP Iridia 433 Gasonics Etch Strata 434 GDO Abatement - CDO Scrubber 1861-ST 435 GMW Associates Electromagnetic System 3470 436 GSI Lumonics Laser Repair System WafeRepair M550 437 GSI Lumonics Laser Repair System WafeRepair M550 438 GSI Lumonics Laser Repair System WafeRepair M550 439 Hirayama Metrology PC-304R7 440 Hitachi Etch DM421P 441 Hitachi Metrology I6300 442 Hitachi Metrology I6300 443 Hitachi Metrology IS2700 444 Hitachi Metrology RS3000 445 Hitachi Metrology RS3000T 446 Hitachi Metrology RS4000 447 Hitachi Metrology S-4700 448 Hitachi Metrology S-5200 449 Hitachi Metrology S-8640 450 Hitachi Metrology S-9200S 451 Hitachi Metrology S-9300T 452 Hitachi Metrology S-9360 453 Hitachi Metrology S-9380 454 Hitachi Metrology S-9380II 455 Hitachi Metrology S-9380II 456 Hitachi Metrology S-9380II 457 Hitachi Metrology VR-120SD 458 Hitachi Others UTS2020 Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 9 of 25 ID-5335-1-1
  • 10. 459 Hitachi (Semiconductor) Particle Measurement LS6800 460 Hitachi (Semiconductor) Focused Ion Beam System FB-2100 461 Hitachi Kokusai Asher RAMCO-8500II 462 Hitachi Kokusai Asher RAMCO-8500II 463 Hitachi Kokusai Asher RAMCO-8500II 464 Hitachi Kokusai Asher RAMCO-8500ZX 465 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2) 466 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2) 467 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2) 468 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2) 469 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2) 470 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2) 471 Hitachi Kokusai Electric CVD DJ-1206V-DF(Quixace2) 472 Hitachi Kokusai Electric CVD DJ-1206VN-DM(Quixace1-ALDINNA) 473 Hitachi Kokusai Electric CVD DJ-823V(VERTEX-3 DASI) 474 Hitachi Kokusai Electric CVD DJ-823V(VERTEX-3 HTO) 475 Hitachi Kokusai Electric Furnace DD-833V 476 Hitachi Kokusai Electric Furnace DJ-1206V-DF(Quixace2) 477 Hitachi Kokusai Electric Vertical LPCVD Furnace Quixace II 478 Horiba Metrology PR-PD2 479 Horiba Metrology PR-PD2 480 Hugle WET CRD-1000 481 Hugle WET CRD-1000 482 Hugle Electronics Cleaning UPC-8300 483 Hugle Electronics Cleaning UPC-8300 484 Hypervision Metrology CHIP UNZIP 485 J.A. Woollam Metrology VASE 486 JEOL Metrology JSM-6320 487 JEOL Metrology JSM-6340F 488 JEOL Metrology JWS-7515 489 Karl SUSS Stepper MA150 490 Karl SUSS Stepper MA150 491 Karl SUSS Stepper MA200 492 Kashiyama Vacuum RD300 493 Kashiyama Vacuum RD300 494 Kawasaki Heavy Industries, Ltd. Gas Turbine Generator M7A-02 495 KCCAT Inc Others KC-805 496 KCCAT Inc Others KC-805 497 KCCAT Inc Others KC-805 498 KCCAT Inc Others KC-805 499 KCCAT Inc Others KC-805 500 KCCAT Inc Others KC-805 501 KLA-Tencor Metrology AIT 502 KLA-Tencor Metrology AIT FUSION XUV 503 KLA-Tencor Metrology AITUV 504 KLA-Tencor Metrology AITUV 505 KLA-Tencor Metrology Aleris 506 KLA-Tencor Metrology Archer 10 AIM+ 507 KLA-Tencor Metrology Archer 10XT 508 KLA-Tencor Metrology Archer AIM MPX 509 KLA-Tencor Metrology Archer AIM+ Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 10 of 25 ID-5335-1-1
  • 11. 510 KLA-Tencor Metrology Archer XT+ 511 KLA-Tencor Metrology AWIS-3110 512 KLA-Tencor Metrology AWIS-3110 513 KLA-Tencor Metrology AWIS-3110 514 KLA-Tencor Metrology EDR5210 515 KLA-Tencor Metrology EDR5210 516 KLA-Tencor Metrology Ergolux 517 KLA-Tencor Metrology INM100+INS10 518 KLA-Tencor Metrology INS3300G1 519 KLA-Tencor Metrology KLA2133 520 KLA-Tencor Metrology KLA2370-IS 521 KLA-Tencor Metrology KLA2552 522 KLA-Tencor Metrology KLA5200XP 523 KLA-Tencor Metrology KLA5200XP 524 KLA-Tencor Metrology LDS3300M 525 KLA-Tencor Metrology NANOMAPPER 526 KLA-Tencor Metrology P11 527 KLA-Tencor Metrology Polyvar SC 528 KLA-Tencor Metrology Puma 9000S 529 KLA-Tencor Metrology SP2-XP 530 KLA-Tencor Metrology AIT XP 531 KLA-Tencor Metrology FLX 2908 532 KLA-Tencor Metrology P2 533 KLA-Tencor Inspection Archer10 534 KLA-Tencor Inspection Archer10 535 KLA-Tencor Inspection Archer10XT 536 KLA-Tencor Inspection KLA-5200 537 KLA-Tencor Inspection KLA-5200 538 KLA-Tencor Inspection NC110 539 KLA-Tencor Inspection Quantox64100 540 KLA-Tencor Parts/Options AIT XP 541 KLA-Tencor Overlay Measurement System Archer AIM+ 542 KLA-Tencor Parts/Options AIT XP 543 KLA-Tencor E-beam Inspection eS32 544 KLA-Tencor Darkfield Inspection AIT XP+ 545 KOBELCO Inspection ID SORTER 546 Kocat Others - 547 Koelcombi Others APEX 548 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 549 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 550 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 551 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 552 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 553 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 554 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 555 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 556 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 557 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 558 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 559 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 560 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 11 of 25 ID-5335-1-1
  • 12. 561 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 562 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 563 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 564 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 565 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 566 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 567 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 568 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 569 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 570 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 571 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 572 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 573 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 574 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 575 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 576 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 577 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 578 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 579 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 580 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 581 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 582 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly 583 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD High-k 584 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Poly 585 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly 586 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace II 587 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace II 588 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace II 589 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace Ultimate ALD SiO2 590 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly 591 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace Ultimate ALD SiO2 592 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly 593 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V 594 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V 595 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V 596 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 597 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II ALD TiN 598 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V 599 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V 600 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Zestone-III(C) DJ-1223V 601 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly 602 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Doped Poly 603 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Poly 604 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Poly 605 Kokusai Electric Co., Ltd. Vertical LPCVD Furnace Quixace II Nitride 606 Kokusai Electric Co., Ltd. Vertical Diffusion Furnace Quixace Ultimate ALD SiO2 607 Komatsu Scanner G20K2-1 608 Komatsu Scanner G20K2-1 609 Komatsu Scanner G20K4-1 610 Komatsu Scanner G20K4-1 611 Komatsu Scanner G20K4-1 Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 12 of 25 ID-5335-1-1
  • 13. 612 LAM Research Etch 2300 Exelan 613 LAM Research Etch 2300 METAL 614 LAM Research Etch 2300 METAL 615 LAM Research Etch 2300 METAL 616 LAM Research Etch 2300 METAL 617 LAM Research Etch 2300 Versys 618 LAM Research Etch Kiyo45 Metal Chamber 619 LAM Research Etch R4728 620 LAM Research Etch Rainbow 4528 Exelan 621 LAM Research Etch Rainbow 4528 Exelan 622 LAM Research Etch Rainbow-4428 623 LAM Research Etch TCP9400 624 LAM Research Etch TCP9600 625 LAM Research Etch TCP9600 626 LAM Research Etch TCP9600 627 LAM Research Etch TCP9600 628 LAM Research Etch TCP9600SE 629 LAM Research Etch TCP9600SE 630 LAM Research Etch TCP9600SE 631 LAM Research Etch 2300 Exelan Flex 45 632 LAM Research Etch 2300 Exelan Flex 45 633 LAM Research Etch 2300 Exelan Flex EX+ 634 LAM Research Etch 2300 Exelan Flex 45 635 LAM Research Etch 2300 Exelan Flex 45 636 LAM Research Etch 2300 Exelan Flex 45 637 LAM Research Etch 2300 Exelan Flex 45 638 LAM Research Etch 2300 Exelan Flex 45 639 LAM Research Etch 2300 Exelan Flex 45 640 LAM Research Etch 2300 Exelan Flex 45 641 LAM Research Etch 2300 Exelan Flex 45 642 LAM Research Etch 2300 Exelan Flex 45 643 LAM Research Etch 2300 Exelan Flex 45 644 LAM Research Etch 2300 KIYO 645 LAM Research Etch 2300e5 Kiyo 646 LAM Research Etch 2300 Versys Kiyo 647 LAM Research Etch 2300 Versys Kiyo 648 Lam Research Etch 2300 Exelan 649 Lam Research Etch 2300 Exelan Flex 650 Lam Research Etch 2300 Exelan Flex 651 Lam Research Etch 2300 Exelan Flex 652 Lehighton Electronics Metrology 1510EC SA 653 Leica / Vistec Metrology LDS 3300M 654 Leica / Vistec Metrology LDS 3300M 655 Leica Inc. Macro-Defect INS3300 656 Leo Instruments/Cambridge Metrology S260 657 Lintec Wafer Mount / Tape LTD-2500F/12 658 LTK Asher 200 Photomask 659 MAT Inc. Front Side Glass Laminator HMS-400P-II 660 Mattson CVD AST3000 plus 661 Mattson Etch PARADIGME_SP 662 Mattson Etch PARADIGME_SP Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 13 of 25 ID-5335-1-1
  • 14. 663 Mattson RTP AST2900 664 Mattson RTP AST2900 665 Mattson RTP AST3000 666 Mattson RTP AST3000 667 Mattson RTP AST3000 668 Mattson RTP AST3000 669 Mattson RTP AST3000 670 Mattson RTP Helios 671 Mattson RTP Helios 672 Mattson RTP Helios 673 Mattson Rapid Thermal Processing 2800 Epsilon 674 Mattson Rapid Thermal Processing 2800 Epsilon 675 Mattson Standalone RTP Equipment Helios 676 Mattson Standalone RTP Equipment 3000 677 Mattson Standalone RTP Equipment 3000 678 Met One Metrology DE712AF-5 679 Metryx Mass Measurement Mentor OC23 680 Micro Instruments Metrology PE9020 681 Micro Instruments Metrology PE9020 682 Micromanipulator Engineering Wafer Prober 6100 683 Miele WET Cleaner (Type G7827) 684 Mitsubishi Wire EDM (Electrical Discharge Machine) FX-20 685 Mitsubishi Wire EDM (Electrical Discharge Machine) SX-10 686 MKS CVD GHW50A-13DF3H0-10 687 MKS CVD NOVA-50A-10 688 MSP Metrology 2110 689 MultiProbe Inc. Engineering Wafer Prober MP1 690 Nanometrics Metrology CALIPER_MOSAIC 691 Nanometrics Metrology CALIPER_MOSAIC 692 Nanometrics Metrology CALIPER_MOSAIC 693 Nanometrics Metrology CDS-200 694 Nanometrics Metrology Nanospec AFT400 695 Nanometrics Metrology SIPHER 696 Nanometrics Metrology 210 AFT 697 Nanometrics Metrology 210 AFT 698 Nanometrics Metrology NanoSpec M-5100 699 Nanometrics Metrology NanoSpec M-5100 700 Nanometrics Inspection 6100X 701 Nanometrics Inc. Critical Dimension (CD) Measurement (non SEM) LYNX 702 nanoscope Metrology N3-3000 703 Newprotech Others - 704 Newprotech Others - 705 Newprotech Others - 706 Newprotech Others NS601.3K 707 Newprotech Others NS601.3K 708 Newprotech Others NSGB1.5K 709 Newprotech Others NSGB1.5K 710 Newprotech Others NSGB1.5K 711 Newprotech Others NSGB1.5K 712 Newprotech Others NSGB1.5K 713 Newprotech Others NSGB1.5K Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 14 of 25 ID-5335-1-1
  • 15. 714 Newprotech Others NSGB1.5K 715 Newprotech Others NSGB1.5K 716 Newprotech Others NSGC3.K 717 Nextest Systems Corporation Parts/Options Maverick II PT - Spares Kit 718 Nextest Systems Corporation Design Verification Tester Maverick II PT 719 Nextest Systems Corporation Design Verification Tester Maverick II PT 720 Nicolet Metrology ECO1000m 721 Nicolet FT-IR Continuum XL 722 Nidek Inspection IM-15 723 Nidek Inspection IM-15 724 Nidek Inspection IM-15 725 Nidek Inspection IM-15 726 Nidek Inspection IM-15 727 Nidek Inspection IM-15 728 Nidek Inspection IM-15 729 Nikon Metrology OPTIPHOT 66 730 Nikon Metrology SMZ-U 731 Nikon Metrology SMZ-U 732 Nikon Scanner NSR-S204B 733 Nikon Scanner NSR-S204B 734 Nikon Scanner NSR-S204B 735 Nikon Scanner NSR-S205C 736 Nikon Scanner NSR-S205C 737 Nikon Scanner NSR-S205C 738 Nikon Scanner NSR-S205C 739 Nikon Scanner NSR-S205C 740 Nikon Scanner NSR-S205C 741 Nikon Scanner NSR-S306c 742 Nikon Scanner NSR-S306c 743 Nikon Scanner NSR-S306c 744 Nikon Stepper NES1-H04 745 Nikon Stepper NSR-2005i10C 746 Nikon Stepper NSR-2205EX12B 747 Nikon Stepper NSR-2205EX12B 748 Nikon Stepper NSR-2205EX12B 749 Nikon Stepper NSR-2205EX14C 750 Nikon Stepper NSR-2205EX14C 751 Nikon Stepper NSR-2205EX14C 752 Nikon Stepper NSR-2205EX14C 753 Nikon Stepper NSR-4425i 754 Nikon Stepper NSR-4425i 755 Nikon Stepper NSR-SF140 756 Nikon Inspection OPTIPHOT-200 757 Nikon Inspection OPTIPHOT-200 758 Nikon Inspection OPTISTATION-7 759 Nikon Stepper NSR-2205EX14C 760 Nikon Stepper NSR-4425i 761 Nippon Scientific Co. Ltd. Specimen Preparation ES372 762 Nitto Metrology HR8500 763 Novellus Asher Gamma Express 764 Novellus CVD C2 Dual Altus STD Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 15 of 25 ID-5335-1-1
  • 16. 765 Novellus CVD C2 Dual Speed Shrink 766 Novellus CVD C2 Dual Speed Shrink 767 Novellus CVD C2 Single Altus 768 Novellus CVD C2 Triple Speed Shrink 769 Novellus CVD C2 Triple Speed Shrink 770 Novellus CVD C3 Speed MAX 771 Novellus CVD C3 Speed NEXT 772 Novellus CVD C3 Speed XT 773 Novellus CVD C3 Vector 774 Novellus CVD C3 Vector 775 Novellus CVD C3 Vector 776 Novellus CVD C3 Vector 777 Novellus CVD C3 Vector 778 Novellus CVD C3 Vector 779 Novellus CVD C3 Vector Extreme 780 Novellus WET Sabre XT 781 Novellus WET Sabre XT 782 Novellus CVD C2 SPEED 783 Novellus CVD SABRE xT 784 Novellus CVD SABRE xT 785 Novellus Systems Inc. PECVD VECTOR Express 786 Novellus Systems Inc. PECVD VECTOR 787 Novellus Systems Inc. PECVD VECTOR 788 Novellus Systems Inc. PECVD VECTOR Express 789 Novellus Systems Inc. WCVD Concept Three Altus xT 790 Novellus Systems Inc. ECD (Electro Chemical Deposition) SABRE 791 Novellus Systems Inc. PECVD VECTOR Express 792 OAI Metrology 358 793 OAI Metrology 358 794 OAI Metrology 358 795 Okamoto Surface Grinder Grinder-X ACC-12.24DX 796 Olympus Metrology BHMJL 797 Olympus Metrology BHMJL 798 Olympus Macro-Defect AL3120F 799 Olympus Macro-Defect AL3120F 800 Olympus Inspection AL2000&Microscope 801 Olympus Inspection AL3110F 802 Olympus Inspection AL3110F 803 Olympus Inspection AL3110FDUV 804 Olympus Inspection AL3120F 805 Olympus Inspection AL3120F 806 Olympus Inspection AL3120F 807 Olympus Inspection AL3120F 808 Olympus Inspection WLS8000 809 ORC MANUFACTURING Bake VUM-3359 810 Panalytical(Spectris) Metrology Xpert-Pro-MRd-XL 811 Peter Wolters CMP PM 300 Apollo 812 PRI Automation Other 7000 813 PSK Asher DAS2000 814 PSK Asher DES-212-304AVL 815 PSK Asher Tera 21 Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 16 of 25 ID-5335-1-1
  • 17. 816 Quaid Technologies Conformal Coaters 8900 FC 817 Quaid Technologies Conformal Coaters 8900 FC 818 Qualmark HALT System Typhoon 1 819 Reichert-Jung Metrology Ultracut E 70 17 04 820 Rena WET Wetbench 821 Rena WET Wetbench Dip Etch(WB1) 822 Rena WET Wetbench Vapor Etch(WB02) 823 Ricor N2 Purge System SSAI-1 824 Rigaku Metrology TXRF3750 825 Rigaku Metrology 3630 826 Rorze Inspection RORZ 827 Rudolph Technologies Inspection CV-9812 828 Rudolph Technologies Inspection MetaPULSE 829 Rudolph Technologies, Inc. Bump Inspection WS 3880 830 Rudolph Technologies, Inc. Film Thickness Measurement S3000S 831 Rudolph Technologies, Inc. Film Thickness Measurement S3000S 832 Rudolph/August Metrology 3Di8500 833 Rudolph/August Metrology Axi-S 834 Rudolph/August Metrology Axi-S 835 Rudolph/August Metrology FE-IV 836 Rudolph/August Metrology FEVII 837 Rudolph/August Metrology FEVII 838 Rudolph/August Metrology FEVII 839 Rudolph/August Metrology FEVII 840 Rudolph/August Metrology FEVII 841 Rudolph/August Metrology FEVIID 842 Rudolph/August Metrology FEVIID 843 Rudolph/August Metrology MP300(Meta Pulse 300) 844 SAES Other MegaTorr PS7 H2 purifier 845 Semiconductor Diagnostics Metrology 3030 846 Semitel WET Parts Cleaner 847 Semitel WET Parts Cleaner 848 Semitel WET Parts Cleaner 849 Semitel WET Parts Cleaner 850 Semitool WET 1600-55M 851 Semitool WET 1600-55M 852 Semitool WET 1600-55M 853 Semitool WET RAIDER ECD 854 Semitool WET Raider ECD 855 Semitool WET SST-F-421-280-F 856 Semitool WET SST-F-421-280-F 857 Semitool WET SST-F-421-280-FK 858 Semitool WET SST-F-421-280-K 859 Semitool WET SST-F-421-280-K 860 Semitool ECD Equinox 861 Semitool Other STORM-3 862 Semitool Plating Raider 863 Semix Track Semix SOG coater 864 Semix Track TZP 865 SEN Implant NV-GSD-HE 866 SEN Implant NV-GSDIII-LE Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 17 of 25 ID-5335-1-1
  • 18. 867 SEN Implanter NV-GSDIII-90 868 Seojin Metrology SSM5200 869 SEZ Group Single Wafer Processing 304 870 SEZ Group Single Wafer Processing 201 871 Sharples Canister Centrifuge PM-38000 872 Shibaura PVD SWN5000 873 Shinkawa Bonding ACB-35S 874 Shinkawa Bonding ACB-35S 875 Shinkawa Bonding ACB-35S 876 Shinkawa Bonding ACB-35S 877 Shinkawa Bonding ACB-35S 878 Signatone Metrology   S-1060R-6SND3L 879 Silvaco Metrology S3245A 880 Sonix Scanning Acoustic Microscopy (SAM) / Tomography (SAT) AW-3000 881 SOSUL Etch EXTRIMA6000 882 SOSUL Etch EXTRIMA6000 883 SOSUL Etch EXTRIMA6000 884 Sosul Bevel Etch Extrima 3100 885 Soul Brain WET Electrolysis water Unit 886 STL Track SPARROW 887 STL Track SPARROW 888 Strasbaugh Crystal Growing Planarizer (Generic) 889 SUMCO Cleaning PXA-100 890 SUMCO Cleaning PXA-100 891 Sumitomo WET KC-200A 892 Sungjin Semitech WET F1BC02 893 Sungjin Semitech WET Ultra Sonic 894 Surftens Metrology Measurement 895 T.N.Tech Track CIE-1C2D04-C 896 T.N.Tech Track CIE-1C2D04-C 897 T.N.Tech Track CIE-1C2D04-C 898 Taeyangtech WET TYT-PC 899 Tazmo Wafer Bonder WSS12101M 900 Technos Metrology TREX 610 Series 901 TechWing Co., Ltd. Pick & Place Memory Handler TW312 902 TEL CVD Trias 903 TEL CVD Trias 904 TEL CVD Trias 905 TEL CVD Trias 906 TEL CVD Trias 907 TEL CVD Trias 908 TEL Etch Unity SCCM Shin 909 TEL Etch Unity SCCM Shin 910 TEL Etch Unity SCCM Shin 911 TEL Etch Unity SCCM Shin 912 TEL Furnace Alpha-303i-H 913 TEL Furnace Alpha-303i-H 914 TEL Furnace Alpha-303i-H 915 TEL Furnace Alpha-303i-H 916 TEL Furnace Alpha-303i-H 917 TEL Furnace Alpha-303i-H Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 18 of 25 ID-5335-1-1
  • 19. 918 TEL Furnace Alpha-303i-K 919 TEL Furnace Alpha-303i-K 920 TEL Furnace Alpha-303i-K 921 TEL Furnace Alpha-808SC 922 TEL Furnace Alpha-808SCN 923 TEL Furnace Alpha-808SCN 924 TEL Furnace Alpha-808SCN 925 TEL Furnace Alpha-808SCN 926 TEL Furnace Alpha-808SCN 927 TEL Furnace Alpha-808SCN 928 TEL Furnace Alpha-808SCN 929 TEL Furnace Alpha-808SD 930 TEL Furnace Alpha-808SD 931 TEL Furnace Alpha-808SD 932 TEL Furnace Alpha-808SD 933 TEL Furnace Alpha-808SD 934 TEL Furnace Alpha-808SD 935 TEL Furnace Alpha-808SD 936 TEL Furnace Alpha-808SD 937 TEL Furnace Alpha-808SD 938 TEL Furnace Alpha-808SD 939 TEL Furnace Alpha-808SD 940 TEL Furnace Alpha-808SD 941 TEL Furnace Alpha-808SD 942 TEL Furnace Alpha-808SD 943 TEL Furnace Alpha-808SD 944 TEL Furnace Alpha-808SD 945 TEL Furnace Alpha-808SD 946 TEL Furnace Alpha-8S-C 947 TEL Furnace Alpha-8S-C 948 TEL Furnace Alpha-8S-E 949 TEL Furnace Alpha-8S-E 950 TEL Furnace Alpha-8S-E 951 TEL Furnace Alpha-8S-E 952 TEL Furnace Alpha-8S-E 953 TEL Furnace Alpha-8S-E 954 TEL Furnace Alpha-8S-E 955 TEL Furnace Alpha-8S-E 956 TEL Furnace Alpha-8S-E 957 TEL Furnace Alpha-8S-E 958 TEL Furnace Alpha-8S-E 959 TEL Furnace Alpha-8S-E 960 TEL Furnace Alpha-8S-Z 961 TEL Furnace Alpha-8S-ZVN 962 TEL Furnace FORMULA 963 TEL Furnace FORMULA 964 TEL Furnace FORMULA 965 TEL Track Mark2 966 TEL Track MarkIV 967 TEL Track ACT8 968 TEL Track ACT8 Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 19 of 25 ID-5335-1-1
  • 20. 969 TEL Track ACT8 970 TEL Track ACT8 971 TEL Track LITHIUS 972 TEL Track LITHIUS i+ 973 TEL Track Mark7 974 TEL Track Mark7 975 TEL Track Mark7 976 TEL Track Mark8 977 TEL Track Mark8 978 TEL Track Mark8 979 TEL Track Mark8 980 TEL Track Mark8 981 TEL Track Mark8 982 TEL Track Mark8 983 TEL Track Mark8 984 TEL Track Mark8 985 TEL Track Mark8 986 TEL Track Mark8 987 TEL Track Mark8 988 TEL Track Mark8 989 TEL Track Mark8 990 TEL Track Mark8 991 TEL Track ACT 8 Coater/Developer 992 TEL Track ACT 8 Coater/Developer 993 TEL Track ACT 8 Coater/Developer 994 TEL Track ACT 8 Coater/Developer 995 TEL Track ACT 8 Coater/Developer 996 TEL Track ACT 8 Coater/Developer 997 TEL Wafer Cleaning Certas 998 TEL Furnace Formula - Nitride 999 TEL Furnace Formula - Oxide 1000 TEL Track LITHIUS 1001 TEL Track MARK-7 Coater/Developer 1002 TEL Track MARK-7 Coater/Developer 1003 TEL Track MARK-8 Developer 1004 TEL Track MARK-8 Developer 1005 TEL Etch Telius SP Oxide Etch 1006 TEL Etch Telius SP Oxide Etch 1007 TEL Etch Telius SP Oxide Etch 1008 TEL Etch Telius SP Oxide Etch 1009 TEL Etch Telius SP Oxide Etch 1010 TEL Etch Telius SP Oxide Etch 1011 TEL Etch Telius SP Oxide Etch 1012 TEL Etch Telius SP Oxide Etch 1013 TEL Etch Telius SP Oxide Etch 1014 TEL Etch Telius SP Oxide Etch 1015 TEL Etch Telius SP Oxide Etch 1016 TEL CVD Trias 1017 TEL Etch Unity II 85 DRM 1018 TEL Etch Unity II 85 DRM 1019 TEL Etch Unity II 85 DRM Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 20 of 25 ID-5335-1-1
  • 21. 1020 TEL Etch Unity II 85 DRM 1021 TEL Etch Unity II 85 DRM 1022 TEL Etch Unity II 85 DRM 1023 TEL Etch Unity II 85 DRM 1024 TEL Etch Unity II 85 DRM 1025 TEL Etch Unity II 85 DRM 1026 TEL Etch Unity II 85 DRM 1027 TEL Etch Unity II 85 DRM 1028 TEL Etch Unity II 85 DRM 1029 TEL Etch Unity IIE 84 SCCM 1030 TEL Etch Unity IIE 84 SCCM 1031 TEL Etch Unity IIE 84 SCCM 1032 TEL Etch Unity IIE 84 SCCM 1033 TEL Etch Unity IIE 84 SCCM 1034 TEL Etch Unity IIE 85 DP 1035 TEL Etch Unity IIE 85 DP 1036 TEL Etch Unity IIE 85 SCCM 1037 TEL Etch Unity IIE 85 SCCM 1038 TEL Etch Unity IIE 88 DRM 1039 TEL Etch Unity ME 85 DRM 1040 TEL Etch UW200Z 1041 TEL Cleaning PR-300Z 1042 TEL Cleaning PR-300Z 1043 TEL Cleaning PR-300Z 1044 TEL Track ACT 8 1045 TEL Track Lithius 1046 TEL Track Lithius 1047 TEL Track MARK 8 1048 TEL Track MARK Vz 1049 TEL Track MARK Vz 1050 TEL Track MARK Vz 1051 TEL Track MARK Vz 1052 TEL Furnace ALPHA-303i 1053 TEL Furnace ALPHA-303i 1054 TEL Furnace ALPHA-303i-K 1055 TEL Furnace ALPHA-303i-K 1056 TEL Furnace ALPHA-303i-K 1057 TEL Furnace ALPHA-303i-K 1058 TEL Furnace ALPHA-303i-K 1059 TEL Furnace ALPHA-303i-K 1060 TEL Furnace ALPHA-303i-K 1061 TEL Furnace ALPHA-303i-K 1062 TEL Furnace ALPHA-303i-K 1063 TEL Furnace ALPHA-8S 1064 TEL Furnace ALPHA-8S 1065 TEL Furnace TELINDY PLUS 1066 TEL CVD TRIAS 1067 TEL CVD TRIAS 1068 TEL Etcher TE8400 1069 TEL Etcher UN85 SCCM_Depo 1070 TEL Etcher UNITY IIe 855SS Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 21 of 25 ID-5335-1-1
  • 22. 1071 TEL Furnace ALPHA-8SE 1072 TEL Furnace FORMULA 1073 TEL Furnace IW-6D 1074 TEL Prober 78S 1075 TEL Prober P-8 1076 TES CVD Challenger 300 1077 Thermawave Metrology Opti-Probe 2600 1078 Thermawave Metrology Opti-Probe 2600 1079 Thermawave Metrology Opti-Probe 2600 1080 Thermawave Metrology TP420 1081 Thermonics Furnace - 1082 TNT WET - 1083 TNT WET - 1084 TNT WET - 1085 TNTEC WET - 1086 TOK Etcher TCE-3822 1087 Tokyo Aircraft Instrument Inspection EDIS3000 1088 TOKYO AIRCRAFT MEASUREMENT Inspection MAC-92 1089 TEL Batch Wafer Processing Expedius 1090 TEL Batch Wafer Processing Expedius 1091 TEL Batch Wafer Processing Expedius 1092 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1093 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1094 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1095 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1096 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1097 TEL Metal CVD Trias Ti/TiN 1098 TEL Metal CVD Trias Ti/TiN 1099 TEL Batch Wafer Processing Expedius 1100 TEL Batch Wafer Processing Expedius 1101 TEL Batch Wafer Processing Expedius 1102 TEL Batch Wafer Processing Expedius 1103 TEL Batch Wafer Processing Expedius 1104 TEL Batch Wafer Processing Expedius 1105 TEL Batch Wafer Processing Expedius 1106 TEL Batch Wafer Processing Expedius 1107 TEL Batch Wafer Processing Expedius 1108 TEL Batch Wafer Processing Expedius+ 1109 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1110 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1111 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1112 TEL Batch Wafer Processing Expedius 1113 TEL Batch Wafer Processing Expedius 1114 TEL Batch Wafer Processing Expedius 1115 TEL Batch Wafer Processing Expedius 1116 TEL Batch Wafer Processing Expedius+ 1117 TEL Batch Wafer Processing Expedius+ 1118 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1119 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1120 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1121 TEL Vertical Furnace - Other TELINDY Plus ALD High-K Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 22 of 25 ID-5335-1-1
  • 23. 1122 TEL Dielectric Etch Telius SP 305 DRM 1123 TEL Metal CVD (Chemical Vapor Deposition) Trias Ti/TiN 1124 TEL Dielectric Etch Telius SP 305 DRM 1125 TEL Metal CVD Trias Ti/TiN 1126 TEL Metal CVD Trias Ti/TiN 1127 TEL Metal CVD Trias Ti/TiN 1128 TEL Metal CVD Trias Ti/TiN 1129 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1130 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1131 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1132 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1133 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1134 TEL Metal CVD Trias Ti/TiN 1135 TEL Batch Wafer Processing Expedius 1136 TEL Dielectric Etch Telius SP-305 SCCM 1137 TEL Dielectric Etch Telius SP-305 SCCM 1138 TEL Batch Wafer Processing Expedius 1139 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1140 TEL Vertical Anneal Furnace TELINDY-B 1141 TEL Metal CVD Trias Ti/TiN 1142 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1143 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1144 TEL Single Wafer Processing Cellesta+ 1145 TEL Batch Wafer Processing PR300Z 1146 TEL Dielectric Etch Telius SP-305 SCCM 1147 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1148 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1149 TEL Dielectric Etch Telius SP 305 DRM 1150 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1151 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1152 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1153 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1154 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1155 TEL Vertical Anneal Furnace ALPHA-303i Anneal 1156 TEL Vertical Anneal Furnace ALPHA-303i Anneal 1157 TEL Vertical Anneal Furnace TELINDY-B 1158 TEL Vertical LPCVD Furnace TELINDY 1159 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1160 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1161 TEL Vertical LPCVD Furnace TELINDY 1162 TEL Vertical LPCVD Furnace TELINDY Oxide 1163 TEL Batch Wafer Processing Expedius+ 1164 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1165 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1166 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1167 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1168 TEL Batch Wafer Processing PR300Z 1169 TEL Batch Wafer Processing Expedius+ 1170 TEL Batch Wafer Processing Expedius+ 1171 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1172 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 23 of 25 ID-5335-1-1
  • 24. 1173 TEL Wafer Bonder Synapse Y 1174 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1175 TEL Vertical LPCVD Furnace TELINDY ALD High-K 1176 TEL Vertical LPCVD Furnace TELINDY ALD High-K 1177 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1178 TEL Vertical LPCVD Furnace TELINDY ALD High-K 1179 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1180 TEL Batch Wafer Processing PR300Z 1181 TEL Parts/Peripherals Trias Chamber 1182 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1183 TEL Batch Wafer Processing Expedius 1184 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1185 TEL Vertical LPCVD Furnace TELINDY Oxide 1186 TEL Dielectric Etch Telius SP 305 DRM 1187 TEL Dielectric Etch Telius SP-305 SCCM 1188 TEL Batch Wafer Processing Expedius+ 1189 TEL Multi Block (Resist Coater/Developer) CLEAN TRACK LITHIUS Pro i 1190 TEL Vertical Furnace - Other TELINDY Plus ALD High-K 1191 TEL Single Block (Coat/Develop) CLEAN TRACK LITHIUS 1192 TEL Vertical LPCVD Furnace TELINDY Plus Nitride 1193 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1194 TEL Vertical Anneal Furnace ALPHA-303i Anneal 1195 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1196 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1197 TEL Vertical LPCVD Furnace TELFORMULA ALD High-K 1198 TEL Vertical LPCVD Furnace TELINDY ALD High-K 1199 TEL Production Wafer Prober Precio 1200 TEL Production Wafer Prober Precio 1201 TEL Production Wafer Prober Precio 1202 TEL Production Wafer Prober Precio 1203 TEL Metal CVD Trias Ti/TiN 1204 TEL Dielectric Etch Telius SP-305 SCCM 1205 TEL Batch Wafer Processing Expedius 1206 TEL Batch Wafer Processing Expedius 1207 TEL Batch Wafer Processing Expedius 1208 TEL Batch Wafer Processing Expedius 1209 Topcon Inspection VI-3200 1210 Topcon Technologies Metrology MI-5080 1211 Topcon Technologies Metrology MI-5080 1212 Toray Engineering Inspection INSPECTRA 1000EX-II300 1213 Toray Engineering Other VE-500RBC 1214 Ultratech Stepper 1500 1215 Ultratech Stepper 1500 1216 Ultratech Stepper 1500 1217 Ultratech Stepper 1500 1218 Ultratech Stepper 1500 1219 Ultratech Stepper 1500 1220 Ultratech Stepper 1500 1221 Ultratech Stepper 1500 1222 Ultratech Stepper 1500 1223 Ultratech Stepper 1500 Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 24 of 25 ID-5335-1-1
  • 25. 1224 Ultratech Stepper 1500 1225 Ultratech Stepper 1500 1226 Ultratech Stepper 1500 1227 Ultratech Stepper 1500 1228 Ultratech Stepper 1500 1229 Ultratech Stepper UNITY AP300 1230 Ultratech Stepper 1500 1231 ULVAC Asher RISE-200 1232 ULVAC PVD Ceraus Z-1000 1233 ULVAC PVD Ceraus ZX-1000 1234 ULVAC PVD Ceraus ZX-1000 1235 ULVAC PVD Ceraus ZX-1000 1236 ULVAC PVD Ceraus ZX-1000 1237 ULVAC PVD Ceraus ZX-1000 1238 ULVAC PVD Ceraus ZX-1000 1239 ULVAC PVD Entron EX 1240 ULVAC PVD Entron EX W300 1241 ULVAC PVD Entron EX W300 1242 ULVAC PVD Entron S 1243 ULVAC PVD Entron T 1244 ULVAC PVD CERAUS Z-1000 1245 ULVAC PVD CERAUS Z-1000 1246 ULVAC PVD Entron-EX 1247 UNISEM Others - 1248 UNISEM Others - 1249 Ushio Track UMA-1002-HC93FS 1250 Ushio Track UMA-1002-HC93FWL 1251 Ushio UV Cure System UMA-2003 1252 Ushio UV Cure System UMA-2003 1253 Ushio UV Cure System UMA-2003 1254 Ushio UV Cure System UMA-2003 1255 Ushio Bake UMA-2003 1256 Ushio Bake UV-CURE UNIHARD 1257 Varian Implanter E220 1258 Varian Implanter VIISion80 1259 Varian Implanter VIISta PLAD 1260 Varian Implanter VIISta 810XP 1261 Varian Implanter VIISta 810XP 1262 Veeco Metrology UVX310 1263 Veeco Metrology V200 1264 Veeco Inspection Dektak-3ST 1265 Vitronics/Soltec Reflow Ovens SMR400 1266 WONIK IPS CVD Bluetain 1267 X-Tek X-Ray Inspection System Orbita 160Xi 1268 Yield Engineering System Others YES-5 1269 Yield Engineering System Others YES-5E 1270 Yield Engineering System Others YES-5E 1271 ZEUS WET - Please email us for the availability. These are subject to prior sale. sales@semistarcorp.com Please email us for the availability. These are subject to prior sale. 25 of 25 ID-5335-1-1