SlideShare a Scribd company logo
1 of 9
Download to read offline
NO EQUIPMENT Process MODEL MAKER
1 Aligner MA150 Kalsuss
2 Stepper G6 Nikon
3 Plasma Cleaner JSPES‐W21 JESAGI
4 MOCVD AIX200/4RFS(2"x3) AIXTRON
5 PECVD PECVD System
6 Thermal Evaporator EasyDEP‐3 ULTECH
7 Thermal CVD CVD System Scientific Engineering
8 RTA RTA150H‐AVP1 NewYoung Mtech
9 ICP (Deep Etcher) Multiplex ICP STS
10 ICP TENACIER‐400VE SUNIC
Equipment List on sale
Email us for availability. Subject to prior sale. Sales@semistarcorp.com
Location: Asia www.semistarcorp.com Sales@semistarcorp.com
11 Etcher 300L Maxis
12 Etcher TE3100 Tainics
13 XeF2 ETCHER Genius 1125 Boundless system
14 Track MEG8‐CD GMT
15 Track
16 Wet Station
17 Scriber TEC‐2002GK TECDIA
18 Scriber TEC‐2002GK TECDIA
19 Laser Scriber DFL7160 DISCO
20 Breaker NBK‐08 NeonTech
21 Dicing Saw DAD522 DISCO
22 Dicing Saw DAD562 DISCO
Email us for availability. Subject to prior sale. Sales@semistarcorp.com
Location: Asia www.semistarcorp.com Sales@semistarcorp.com
23 Dicing Saw DAD320 DISCO
24 Manual Scriber OSM‐80TP OPTO System
25 Wire Ball Bonder WT‐2001 WX
26 LED Reliability tester LIF‐100 ETAMAX
27 Expander CSE‐W220 CS Eng
28 Wafer Mounter Bonding
29 Tape Mounter NTM‐80 NeonTech
30 Roll Laminator Prime Lami 720 Prime Lami
31 Mixer ARE‐310 THINKY
32 Mixer MSM‐200 Mythos
33 Powder Mixer KM‐LS‐300 KM Tech
34 Shaking Incubator 600795 Bioneer
35 3D AOI Inspection KY‐7100 KOH YOUNG
Email us for availability. Subject to prior sale. Sales@semistarcorp.com
Location: Asia www.semistarcorp.com Sales@semistarcorp.com
36 Tube Furnace 3Zone Vacuum 1200'C EM Tech
37 Shaking Hotplate Radleys
38 Peristaltic pump dispenser Perimatic Gp ii Jencons
39 Highly Accelerated Stress Test Chamber PM‐420 ETAC
40 Temperature chamber SU‐220 ESPEC
41 2Chamber Oven(600x500x600) SFCN‐302DP Shinsaeng
42 OVEN(600x500x500) CO‐150 HYSC
43 OVEN(500x600x500) SH‐40C Saeyoung
44 OVEN(800x500x600) Dry Oven Y.M.RTC
45 OVEN 400X400 Taemin
Email us for availability. Subject to prior sale. Sales@semistarcorp.com
Location: Asia www.semistarcorp.com Sales@semistarcorp.com
46 Die Bonder AD830 ASM
47 Wire Bonder MAXUM K&S
48 Eutectic Bonder PB200L Protec
49 Dispenser X‐1020 Asymtek
50 Dispenser FAD5000 MUSASHI
51 Test Handler NCS‐3100VI Nihon Garter
52 tapping M/C NCT‐3700 Nihon Garter
53 tapping M/C NCT‐5000 Nihon Garter
54 Spin Coater Shinu
55 SRD(8"x1) Spin Dryer
56 SRD(6") VTI470S Vantage technology
Email us for availability. Subject to prior sale. Sales@semistarcorp.com
Location: Asia www.semistarcorp.com Sales@semistarcorp.com
57 Spin Cleaner NSD‐68 NeonTech
58 Spin Cleaner NSD‐80 NeonTech
59 Mask Aligner MA45 Karlsuss
60 Thin Film Analyzer F20 FilMetrics no PC
61 SEM S‐2500C Hitachi
62 Confocal VK‐9510K KEYENCE
63 Confocal NS‐3000 Nanoscope
64 Confocal NS‐3000 Nanoscope
65 Surface Profiler NV‐1000 NanoSystem no PC
66 Surface Profiler DEKTAK 6M VEECO no PC
Email us for availability. Subject to prior sale. Sales@semistarcorp.com
Location: Asia www.semistarcorp.com Sales@semistarcorp.com
67 Micro Scope MX50L(12") OLYMPUS
68 Micro Scope EPIPHOT200 NIKON
69 Micro Scope LV‐100 NIKON
70 Micro Scope Eclipse ME600 NIKON
71 Micro Scope Eclipse ME600 NIKON
72 Micro Scope HR3‐RF HUVITS
73 Optical Projector CPJ‐3025AZ Retional
74 Optical Projector CPJ‐3025AZ Retional
75 Optical Projector CPJ‐3025AZ Retional
76 Stereo Scope SMZ645 NIKON
77 Stereo Scope SZ‐61TR Olympus
78 Digital Microscope KH‐7700 HiROX
79 Spectrometer CM‐3700A Konica Minolta
80 Pannel Tester VMS‐1S Scinco
81 Pannel Tester VMS‐1S Scinco
82 In‐Vivo Imaging System Optix MX3 ART Advanced no PC
Email us for availability. Subject to prior sale. Sales@semistarcorp.com
Location: Asia www.semistarcorp.com Sales@semistarcorp.com
83 XRD X'Pert PRO PANalytical
84 Sheet Resistance Measurement 1510EC LEHIGHTON
85 Plating Thickness SFT‐110 SII Nano technology no PC
86 Plating Thickness SFT‐9100M SII Nano technology
87 3D Measuring Machine MI‐300 IMS‐cmm
88 3D Measuring Machine Benchmark 450 View Micro
89 3D Measuring Machine SmartScope 620 OGP
90 LED Spectrometer CAS140CT Instrument System
91 LED Spectrometer OL770 OptronicLaboratories, inc
Email us for availability. Subject to prior sale. Sales@semistarcorp.com
Location: Asia www.semistarcorp.com Sales@semistarcorp.com
92 LED Spectrometer OL770 OptronicLaboratories, inc
93 LED Spectrometer LE‐4300 OTSUKA
94 Laser Maker U‐15S‐01 EMI
95 Zoom Microscope DZ2 UNION
96 Zoom Microscope DZ2 UNION
97 Zoom Microscope DZ2 UNION
TOTAL
Email us for availability. Subject to prior sale. Sales@semistarcorp.com
Location: Asia www.semistarcorp.com Sales@semistarcorp.com

More Related Content

What's hot

Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684Emily Tan
 
FusionIO iodrive2 vs LSI nytro vs VIRI Flashmax II
FusionIO  iodrive2 vs LSI nytro  vs VIRI Flashmax IIFusionIO  iodrive2 vs LSI nytro  vs VIRI Flashmax II
FusionIO iodrive2 vs LSI nytro vs VIRI Flashmax IILouis liu
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor EquipmentEmily Tan
 
Dons Brief work history-2
Dons Brief work history-2Dons Brief work history-2
Dons Brief work history-2Don Ghilardi
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Emily Tan
 
Semiconductor Equipment and Spare Parts 6
Semiconductor Equipment and Spare Parts    6Semiconductor Equipment and Spare Parts    6
Semiconductor Equipment and Spare Parts 6Emily Tan
 
Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Emily Tan
 
Platinum Tools 12516C Data Sheet
Platinum Tools 12516C Data SheetPlatinum Tools 12516C Data Sheet
Platinum Tools 12516C Data SheetJMAC Supply
 
POLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overviewPOLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overviewAlexander Grudanov
 
Dons brief work history 2
Dons brief work history 2Dons brief work history 2
Dons brief work history 2Don Ghilardi
 
Cataloge schneider mcb c60 n-dienhathe.vn
Cataloge schneider mcb c60 n-dienhathe.vnCataloge schneider mcb c60 n-dienhathe.vn
Cataloge schneider mcb c60 n-dienhathe.vnDien Ha The
 
Microcontrolador Solidworks
Microcontrolador SolidworksMicrocontrolador Solidworks
Microcontrolador SolidworksPerla Berrones
 
SPICE MODEL of IDK04G65C5 (Professional Model) in SPICE PARK
SPICE MODEL of IDK04G65C5 (Professional Model) in SPICE PARKSPICE MODEL of IDK04G65C5 (Professional Model) in SPICE PARK
SPICE MODEL of IDK04G65C5 (Professional Model) in SPICE PARKTsuyoshi Horigome
 

What's hot (18)

Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684
 
FusionIO iodrive2 vs LSI nytro vs VIRI Flashmax II
FusionIO  iodrive2 vs LSI nytro  vs VIRI Flashmax IIFusionIO  iodrive2 vs LSI nytro  vs VIRI Flashmax II
FusionIO iodrive2 vs LSI nytro vs VIRI Flashmax II
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
Dons Brief work history-2
Dons Brief work history-2Dons Brief work history-2
Dons Brief work history-2
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141
 
Semiconductor Equipment and Spare Parts 6
Semiconductor Equipment and Spare Parts    6Semiconductor Equipment and Spare Parts    6
Semiconductor Equipment and Spare Parts 6
 
Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020
 
Platinum Tools 12516C Data Sheet
Platinum Tools 12516C Data SheetPlatinum Tools 12516C Data Sheet
Platinum Tools 12516C Data Sheet
 
PSRR and CMRR
PSRR and CMRRPSRR and CMRR
PSRR and CMRR
 
POLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overviewPOLYTEDA PowerDRC/LVS overview
POLYTEDA PowerDRC/LVS overview
 
Effect of CMD on cassava root yield
Effect of CMD on cassava root yieldEffect of CMD on cassava root yield
Effect of CMD on cassava root yield
 
Dons brief work history 2
Dons brief work history 2Dons brief work history 2
Dons brief work history 2
 
Brief Work History 2
Brief Work History 2Brief Work History 2
Brief Work History 2
 
SAR05030MT2R2 規格書AA
SAR05030MT2R2   規格書AASAR05030MT2R2   規格書AA
SAR05030MT2R2 規格書AA
 
Ppt for ir camera
Ppt for ir cameraPpt for ir camera
Ppt for ir camera
 
Cataloge schneider mcb c60 n-dienhathe.vn
Cataloge schneider mcb c60 n-dienhathe.vnCataloge schneider mcb c60 n-dienhathe.vn
Cataloge schneider mcb c60 n-dienhathe.vn
 
Microcontrolador Solidworks
Microcontrolador SolidworksMicrocontrolador Solidworks
Microcontrolador Solidworks
 
SPICE MODEL of IDK04G65C5 (Professional Model) in SPICE PARK
SPICE MODEL of IDK04G65C5 (Professional Model) in SPICE PARKSPICE MODEL of IDK04G65C5 (Professional Model) in SPICE PARK
SPICE MODEL of IDK04G65C5 (Professional Model) in SPICE PARK
 

Similar to Semiconductor equipment on sale may 20th 2020

Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Emily Tan
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi starEmily Tan
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Emily Tan
 
Semiconductor equipment semi star may 2020-ss7270
Semiconductor equipment   semi star may 2020-ss7270Semiconductor equipment   semi star may 2020-ss7270
Semiconductor equipment semi star may 2020-ss7270Emily Tan
 
Semiconductor equipment parts may 2020 part1
Semiconductor equipment parts may 2020 part1Semiconductor equipment parts may 2020 part1
Semiconductor equipment parts may 2020 part1Emily Tan
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrumentEmily Tan
 
Server room cable clean up Project
Server room cable clean up ProjectServer room cable clean up Project
Server room cable clean up ProjectGS CHO
 
Sigma Presentation
Sigma PresentationSigma Presentation
Sigma Presentationwilcarjunho
 
LG CRT TV MODEL Ct 21q92ke
LG CRT TV MODEL Ct 21q92keLG CRT TV MODEL Ct 21q92ke
LG CRT TV MODEL Ct 21q92keMalik Arif
 
Qualitas Product Guide Jan17 v1-2
Qualitas Product Guide Jan17 v1-2Qualitas Product Guide Jan17 v1-2
Qualitas Product Guide Jan17 v1-2Ken Hood
 
Hp dv6 7000 goya balen 11254-3
Hp dv6 7000 goya balen 11254-3Hp dv6 7000 goya balen 11254-3
Hp dv6 7000 goya balen 11254-3JosPinaya
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Emily Tan
 
NVIDIA GeForce RTX Launch Event
NVIDIA GeForce RTX Launch EventNVIDIA GeForce RTX Launch Event
NVIDIA GeForce RTX Launch EventNVIDIA
 
Update 30 models(LED) in SPICE PARK(OCT2020)
Update 30 models(LED) in SPICE PARK(OCT2020) Update 30 models(LED) in SPICE PARK(OCT2020)
Update 30 models(LED) in SPICE PARK(OCT2020) Tsuyoshi Horigome
 
Arauco ServoSistemas Presentation
Arauco ServoSistemas PresentationArauco ServoSistemas Presentation
Arauco ServoSistemas PresentationEduardo Condemarin
 
ACCRETECH TSK UF 2000 Prober
ACCRETECH TSK UF 2000 ProberACCRETECH TSK UF 2000 Prober
ACCRETECH TSK UF 2000 ProberEmily Tan
 

Similar to Semiconductor equipment on sale may 20th 2020 (20)

Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi star
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 
Semiconductor equipment semi star may 2020-ss7270
Semiconductor equipment   semi star may 2020-ss7270Semiconductor equipment   semi star may 2020-ss7270
Semiconductor equipment semi star may 2020-ss7270
 
Semiconductor equipment parts may 2020 part1
Semiconductor equipment parts may 2020 part1Semiconductor equipment parts may 2020 part1
Semiconductor equipment parts may 2020 part1
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
cmp-brochure
cmp-brochurecmp-brochure
cmp-brochure
 
Server room cable clean up Project
Server room cable clean up ProjectServer room cable clean up Project
Server room cable clean up Project
 
Manual licor 6200 condensado
Manual licor 6200 condensadoManual licor 6200 condensado
Manual licor 6200 condensado
 
Sigma Presentation
Sigma PresentationSigma Presentation
Sigma Presentation
 
LG CRT TV MODEL Ct 21q92ke
LG CRT TV MODEL Ct 21q92keLG CRT TV MODEL Ct 21q92ke
LG CRT TV MODEL Ct 21q92ke
 
Topcon dt 200
Topcon dt 200Topcon dt 200
Topcon dt 200
 
Alam Survey - Jual
Alam Survey - JualAlam Survey - Jual
Alam Survey - Jual
 
Qualitas Product Guide Jan17 v1-2
Qualitas Product Guide Jan17 v1-2Qualitas Product Guide Jan17 v1-2
Qualitas Product Guide Jan17 v1-2
 
Hp dv6 7000 goya balen 11254-3
Hp dv6 7000 goya balen 11254-3Hp dv6 7000 goya balen 11254-3
Hp dv6 7000 goya balen 11254-3
 
Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020Semiconductor equipment spare parts in usa may 20th 2020
Semiconductor equipment spare parts in usa may 20th 2020
 
NVIDIA GeForce RTX Launch Event
NVIDIA GeForce RTX Launch EventNVIDIA GeForce RTX Launch Event
NVIDIA GeForce RTX Launch Event
 
Update 30 models(LED) in SPICE PARK(OCT2020)
Update 30 models(LED) in SPICE PARK(OCT2020) Update 30 models(LED) in SPICE PARK(OCT2020)
Update 30 models(LED) in SPICE PARK(OCT2020)
 
Arauco ServoSistemas Presentation
Arauco ServoSistemas PresentationArauco ServoSistemas Presentation
Arauco ServoSistemas Presentation
 
ACCRETECH TSK UF 2000 Prober
ACCRETECH TSK UF 2000 ProberACCRETECH TSK UF 2000 Prober
ACCRETECH TSK UF 2000 Prober
 

More from Emily Tan

Heatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfHeatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfEmily Tan
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipmentEmily Tan
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentEmily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipmentEmily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipmentEmily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipmentEmily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipmentEmily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipmentEmily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipmentEmily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEmily Tan
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Emily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEmily Tan
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryEmily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMEmily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Emily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsEmily Tan
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment InventoryEmily Tan
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on saleEmily Tan
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaEmily Tan
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaEmily Tan
 

More from Emily Tan (20)

Heatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfHeatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdf
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
 

Recently uploaded

"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...Zilliz
 
Choreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software EngineeringChoreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software EngineeringWSO2
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdfSandro Moreira
 
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Victor Rentea
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Jeffrey Haguewood
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businesspanagenda
 
Less Is More: Utilizing Ballerina to Architect a Cloud Data Platform
Less Is More: Utilizing Ballerina to Architect a Cloud Data PlatformLess Is More: Utilizing Ballerina to Architect a Cloud Data Platform
Less Is More: Utilizing Ballerina to Architect a Cloud Data PlatformWSO2
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodJuan lago vázquez
 
Modernizing Legacy Systems Using Ballerina
Modernizing Legacy Systems Using BallerinaModernizing Legacy Systems Using Ballerina
Modernizing Legacy Systems Using BallerinaWSO2
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfOrbitshub
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FMESafe Software
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MIND CTI
 
JavaScript Usage Statistics 2024 - The Ultimate Guide
JavaScript Usage Statistics 2024 - The Ultimate GuideJavaScript Usage Statistics 2024 - The Ultimate Guide
JavaScript Usage Statistics 2024 - The Ultimate GuidePixlogix Infotech
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingEdi Saputra
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FMESafe Software
 
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)Samir Dash
 
Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)Zilliz
 
Simplifying Mobile A11y Presentation.pptx
Simplifying Mobile A11y Presentation.pptxSimplifying Mobile A11y Presentation.pptx
Simplifying Mobile A11y Presentation.pptxMarkSteadman7
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc
 
Introduction to use of FHIR Documents in ABDM
Introduction to use of FHIR Documents in ABDMIntroduction to use of FHIR Documents in ABDM
Introduction to use of FHIR Documents in ABDMKumar Satyam
 

Recently uploaded (20)

"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
 
Choreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software EngineeringChoreo: Empowering the Future of Enterprise Software Engineering
Choreo: Empowering the Future of Enterprise Software Engineering
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf
 
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
 
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
Web Form Automation for Bonterra Impact Management (fka Social Solutions Apri...
 
Why Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire businessWhy Teams call analytics are critical to your entire business
Why Teams call analytics are critical to your entire business
 
Less Is More: Utilizing Ballerina to Architect a Cloud Data Platform
Less Is More: Utilizing Ballerina to Architect a Cloud Data PlatformLess Is More: Utilizing Ballerina to Architect a Cloud Data Platform
Less Is More: Utilizing Ballerina to Architect a Cloud Data Platform
 
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin WoodPolkadot JAM Slides - Token2049 - By Dr. Gavin Wood
Polkadot JAM Slides - Token2049 - By Dr. Gavin Wood
 
Modernizing Legacy Systems Using Ballerina
Modernizing Legacy Systems Using BallerinaModernizing Legacy Systems Using Ballerina
Modernizing Legacy Systems Using Ballerina
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024MINDCTI Revenue Release Quarter One 2024
MINDCTI Revenue Release Quarter One 2024
 
JavaScript Usage Statistics 2024 - The Ultimate Guide
JavaScript Usage Statistics 2024 - The Ultimate GuideJavaScript Usage Statistics 2024 - The Ultimate Guide
JavaScript Usage Statistics 2024 - The Ultimate Guide
 
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost SavingRepurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
Repurposing LNG terminals for Hydrogen Ammonia: Feasibility and Cost Saving
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
 
Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)Introduction to Multilingual Retrieval Augmented Generation (RAG)
Introduction to Multilingual Retrieval Augmented Generation (RAG)
 
Simplifying Mobile A11y Presentation.pptx
Simplifying Mobile A11y Presentation.pptxSimplifying Mobile A11y Presentation.pptx
Simplifying Mobile A11y Presentation.pptx
 
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data DiscoveryTrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
TrustArc Webinar - Unlock the Power of AI-Driven Data Discovery
 
Introduction to use of FHIR Documents in ABDM
Introduction to use of FHIR Documents in ABDMIntroduction to use of FHIR Documents in ABDM
Introduction to use of FHIR Documents in ABDM
 

Semiconductor equipment on sale may 20th 2020

  • 1. NO EQUIPMENT Process MODEL MAKER 1 Aligner MA150 Kalsuss 2 Stepper G6 Nikon 3 Plasma Cleaner JSPES‐W21 JESAGI 4 MOCVD AIX200/4RFS(2"x3) AIXTRON 5 PECVD PECVD System 6 Thermal Evaporator EasyDEP‐3 ULTECH 7 Thermal CVD CVD System Scientific Engineering 8 RTA RTA150H‐AVP1 NewYoung Mtech 9 ICP (Deep Etcher) Multiplex ICP STS 10 ICP TENACIER‐400VE SUNIC Equipment List on sale Email us for availability. Subject to prior sale. Sales@semistarcorp.com Location: Asia www.semistarcorp.com Sales@semistarcorp.com
  • 2. 11 Etcher 300L Maxis 12 Etcher TE3100 Tainics 13 XeF2 ETCHER Genius 1125 Boundless system 14 Track MEG8‐CD GMT 15 Track 16 Wet Station 17 Scriber TEC‐2002GK TECDIA 18 Scriber TEC‐2002GK TECDIA 19 Laser Scriber DFL7160 DISCO 20 Breaker NBK‐08 NeonTech 21 Dicing Saw DAD522 DISCO 22 Dicing Saw DAD562 DISCO Email us for availability. Subject to prior sale. Sales@semistarcorp.com Location: Asia www.semistarcorp.com Sales@semistarcorp.com
  • 3. 23 Dicing Saw DAD320 DISCO 24 Manual Scriber OSM‐80TP OPTO System 25 Wire Ball Bonder WT‐2001 WX 26 LED Reliability tester LIF‐100 ETAMAX 27 Expander CSE‐W220 CS Eng 28 Wafer Mounter Bonding 29 Tape Mounter NTM‐80 NeonTech 30 Roll Laminator Prime Lami 720 Prime Lami 31 Mixer ARE‐310 THINKY 32 Mixer MSM‐200 Mythos 33 Powder Mixer KM‐LS‐300 KM Tech 34 Shaking Incubator 600795 Bioneer 35 3D AOI Inspection KY‐7100 KOH YOUNG Email us for availability. Subject to prior sale. Sales@semistarcorp.com Location: Asia www.semistarcorp.com Sales@semistarcorp.com
  • 4. 36 Tube Furnace 3Zone Vacuum 1200'C EM Tech 37 Shaking Hotplate Radleys 38 Peristaltic pump dispenser Perimatic Gp ii Jencons 39 Highly Accelerated Stress Test Chamber PM‐420 ETAC 40 Temperature chamber SU‐220 ESPEC 41 2Chamber Oven(600x500x600) SFCN‐302DP Shinsaeng 42 OVEN(600x500x500) CO‐150 HYSC 43 OVEN(500x600x500) SH‐40C Saeyoung 44 OVEN(800x500x600) Dry Oven Y.M.RTC 45 OVEN 400X400 Taemin Email us for availability. Subject to prior sale. Sales@semistarcorp.com Location: Asia www.semistarcorp.com Sales@semistarcorp.com
  • 5. 46 Die Bonder AD830 ASM 47 Wire Bonder MAXUM K&S 48 Eutectic Bonder PB200L Protec 49 Dispenser X‐1020 Asymtek 50 Dispenser FAD5000 MUSASHI 51 Test Handler NCS‐3100VI Nihon Garter 52 tapping M/C NCT‐3700 Nihon Garter 53 tapping M/C NCT‐5000 Nihon Garter 54 Spin Coater Shinu 55 SRD(8"x1) Spin Dryer 56 SRD(6") VTI470S Vantage technology Email us for availability. Subject to prior sale. Sales@semistarcorp.com Location: Asia www.semistarcorp.com Sales@semistarcorp.com
  • 6. 57 Spin Cleaner NSD‐68 NeonTech 58 Spin Cleaner NSD‐80 NeonTech 59 Mask Aligner MA45 Karlsuss 60 Thin Film Analyzer F20 FilMetrics no PC 61 SEM S‐2500C Hitachi 62 Confocal VK‐9510K KEYENCE 63 Confocal NS‐3000 Nanoscope 64 Confocal NS‐3000 Nanoscope 65 Surface Profiler NV‐1000 NanoSystem no PC 66 Surface Profiler DEKTAK 6M VEECO no PC Email us for availability. Subject to prior sale. Sales@semistarcorp.com Location: Asia www.semistarcorp.com Sales@semistarcorp.com
  • 7. 67 Micro Scope MX50L(12") OLYMPUS 68 Micro Scope EPIPHOT200 NIKON 69 Micro Scope LV‐100 NIKON 70 Micro Scope Eclipse ME600 NIKON 71 Micro Scope Eclipse ME600 NIKON 72 Micro Scope HR3‐RF HUVITS 73 Optical Projector CPJ‐3025AZ Retional 74 Optical Projector CPJ‐3025AZ Retional 75 Optical Projector CPJ‐3025AZ Retional 76 Stereo Scope SMZ645 NIKON 77 Stereo Scope SZ‐61TR Olympus 78 Digital Microscope KH‐7700 HiROX 79 Spectrometer CM‐3700A Konica Minolta 80 Pannel Tester VMS‐1S Scinco 81 Pannel Tester VMS‐1S Scinco 82 In‐Vivo Imaging System Optix MX3 ART Advanced no PC Email us for availability. Subject to prior sale. Sales@semistarcorp.com Location: Asia www.semistarcorp.com Sales@semistarcorp.com
  • 8. 83 XRD X'Pert PRO PANalytical 84 Sheet Resistance Measurement 1510EC LEHIGHTON 85 Plating Thickness SFT‐110 SII Nano technology no PC 86 Plating Thickness SFT‐9100M SII Nano technology 87 3D Measuring Machine MI‐300 IMS‐cmm 88 3D Measuring Machine Benchmark 450 View Micro 89 3D Measuring Machine SmartScope 620 OGP 90 LED Spectrometer CAS140CT Instrument System 91 LED Spectrometer OL770 OptronicLaboratories, inc Email us for availability. Subject to prior sale. Sales@semistarcorp.com Location: Asia www.semistarcorp.com Sales@semistarcorp.com
  • 9. 92 LED Spectrometer OL770 OptronicLaboratories, inc 93 LED Spectrometer LE‐4300 OTSUKA 94 Laser Maker U‐15S‐01 EMI 95 Zoom Microscope DZ2 UNION 96 Zoom Microscope DZ2 UNION 97 Zoom Microscope DZ2 UNION TOTAL Email us for availability. Subject to prior sale. Sales@semistarcorp.com Location: Asia www.semistarcorp.com Sales@semistarcorp.com