SlideShare a Scribd company logo
1 of 13
Download to read offline
No. OEM Model Process
1 Accent Optical Technologies Q200 Overlay Measurement System
2 Accretech/TSK UF3000 Production Wafer Prober
3 Accretech/TSK UF3000 Production Wafer Prober
4 Accretech/TSK UF3000 Production Wafer Prober
5 Accretech/TSK UF3000 Production Wafer Prober
6 Accretech/TSK UF3000 Production Wafer Prober
7 Accretech/TSK UF3000 Production Wafer Prober
8 Advantest T5371 Memory Tester
9 Advantest T5371 Memory Tester
10 Advantest T5371 Memory Tester
11 Advantest T5371 Memory Tester
12 Advantest T5371 Memory Tester
13 Advantest T5371 Memory Tester
14 Agilent Technologies Inc. 41000 Parametric Tester
15 Air Liquide Double Drum Cabinet Chemical/Gas Storage & Delivery
16 Air Liquide CHEMFLEX D4 Chemical/Gas Storage & Delivery
17 Air Liquide Single Drum Cabinet Chemical/Gas Storage & Delivery
18 Air Liquide Single Drum Cabinet Chemical/Gas Storage & Delivery
19 Air Liquide CHEMFLEX D4 Chemical/Gas Storage & Delivery
20 Alcatel A1803H Dry Pump
21 Alcatel AD63KH Dry Pump
22 Alcatel ADP 122P Dry Pump
23 Alcatel ADS 602P Dry Pump
24 Alcatel A1503H Dry Pump
25 Alcatel ADP 30 Dry Pump
26 Alcatel AD63KH Dry Pump
27 Alcatel ADP 122P Dry Pump
28 Alcatel ADP 122P Dry Pump
29 Alcatel ADP 122P Dry Pump
30 Alcatel A1503H Dry Pump
31 Alcatel A1503H Dry Pump
32 Alcatel A803H Dry Pump
33 Alcatel ADP 81 Dry Pump
34 Alcatel AD63KH Dry Pump
35 Alcatel ADS 1202H Dry Pump
36 Alcatel ADS 1802H Dry Pump
37 AMEC Primo SSC AD-RIE Dielectric Etch
38 AMEC Primo SSC AD-RIE Dielectric Etch
39 Amerivacs A-series Bag Sealer
40 Anelva Corp. I-4500 Multi-Process Etch
41 ANSUL AUTOPULSE 542R Fire Alarms/Suppression
42 Applied Materials Centura AP Enabler Dielectric Etch
43 Applied Materials Centura AP Enabler Dielectric Etch
44 Applied Materials Producer GT3 APFe PECVD (Chemical Vapor Deposition)
45 Applied Materials Centura AP Enabler Dielectric Etch
46 Applied Materials Reflexion - Dielectric Dielectric CMP
47 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition)
48 Applied Materials Reflexion - Dielectric Dielectric CMP
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 1 of 13 Appreciate your time! sales@semistarcorp.com
49 Applied Materials Centura AP DPS II Polysilicon Polysilicon Etch
50 Applied Materials Producer GT SiCoNi Clean PECVD (Chemical Vapor Deposition)
51 Applied Materials
Endura II Chamber: TxZ CVD
TiN PVD (Physical Vapor Deposition)
52 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition)
53 Applied Materials Centura AP iSprint Metal CVD (Chemical Vapor Deposition)
54 Applied Materials Centura SiNgen Chamber LPCVD
55 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition)
56 Applied Materials Centura ACP RP EPI Epitaxial Silicon (EPI)
57 Applied Materials Uvision 200 Brightfield Inspection
58 Applied Materials Uvision 200 Brightfield Inspection
59 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition)
60 Applied Materials Uvision 4 Brightfield Inspection
61 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition)
62 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition)
63 Applied Materials Quantum X Plus High Current Implanter
64 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition)
65 Applied Materials Producer SE SACVD HARP SACVD (Chemical Vapor Deposition)
66 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition)
67 Applied Materials
Producer GT Eterna FCVD
Chamber Only PECVD (Chemical Vapor Deposition)
68 Applied Materials
Producer GT PECVD Silane -
Chamber Only PECVD (Chemical Vapor Deposition)
69 Applied Materials
Producer GT PECVD Silane -
Chamber Only PECVD (Chemical Vapor Deposition)
70 Applied Materials Endura II Chamber: PC XT PVD (Physical Vapor Deposition)
71 Applied Materials Endura II Chamber: PC XT PVD (Physical Vapor Deposition)
72 Applied Materials Endura II Chamber: PC XT PVD (Physical Vapor Deposition)
73 Applied Materials
Centura AP AdvantEdge G5
Mesa T2 Poly Polysilicon Etch
74 Applied Materials
Centura AP AdvantEdge G5
Mesa T2 Poly Polysilicon Etch
75 Applied Materials
Centura AP AdvantEdge G5
Mesa T2 Poly Polysilicon Etch
76 Applied Materials
Centura AP AdvantEdge G5
Mesa T2 Poly Polysilicon Etch
77 Applied Materials Reflexion - Dielectric Dielectric CMP
78 Applied Materials Reflexion - Dielectric Dielectric CMP
79 Applied Materials Reflexion Multi-Process CMP
80 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition)
81 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement
82 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition)
83 Applied Materials
Centura AP DPS AdvantEdge
Poly Polysilicon Etch
84 Applied Materials Reflexion - Dielectric Dielectric CMP
85 Applied Materials
Centura AP DPS AdvantEdge
G2 Metal - Chamber Only Metal Etch
86 Applied Materials
Centura AP DPS AdvantEdge
G2 Metal - Chamber Only Metal Etch
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 2 of 13 Appreciate your time! sales@semistarcorp.com
87 Applied Materials Endura II Chamber: SIP PVD (Physical Vapor Deposition)
88 Applied Materials Reflexion Multi-Process CMP
89 Applied Materials Reflexion Multi-Process CMP
90 Applied Materials SEMVision G3 Lite SEM - Defect Review (DR)
91 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition)
92 Applied Materials
Endura II Front-End
Metallization PVD (Physical Vapor Deposition)
93 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement
94 Applied Materials
Endura II Front-End
Metallization PVD (Physical Vapor Deposition)
95 Applied Materials Producer GT Celera PECVD (Chemical Vapor Deposition)
96 Applied Materials Producer GT Celera PECVD (Chemical Vapor Deposition)
97 Applied Materials Producer GT Celera PECVD (Chemical Vapor Deposition)
98 Applied Materials
Producer SE BD/BLOk Low k
Dielectric PECVD (Chemical Vapor Deposition)
99 Applied Materials
Producer SE BD/BLOk Low k
Dielectric PECVD (Chemical Vapor Deposition)
100 Applied Materials Producer SE SACVD HARP SACVD (Chemical Vapor Deposition)
101 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition)
102 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition)
103 Applied Materials Reflexion Multi-Process CMP
104 Applied Materials Uvision 4 Brightfield Inspection
105 Applied Materials Reflexion - Dielectric Dielectric CMP
106 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition)
107 Applied Materials
Centura AP - Mainframe Only
(Poly Etch) Polysilicon Etch
108 Applied Materials Reflexion Multi-Process CMP
109 Applied Materials
Endura II Front-End
Metallization PVD (Physical Vapor Deposition)
110 Applied Materials Centura AP eMax CT Dielectric Etch
111 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition)
112 Applied Materials Reflexion - Dielectric Dielectric CMP
113 Applied Materials Centura AP - Mainframe Only Metal Etch
114 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition)
115 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
116 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
117 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
118 ASM International A412 Nitride Vertical LPCVD Furnace
119 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
120 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
121 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
122 ASM International Eagle XP PECVD (Chemical Vapor Deposition)
123 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
124 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
125 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
126 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
127 ASM International Eagle XP PECVD (Chemical Vapor Deposition)
128 ASM International Eagle XP PECVD (Chemical Vapor Deposition)
129 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 3 of 13 Appreciate your time! sales@semistarcorp.com
130 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
131 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
132 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
133 ASM International Eagle XP EmerALD ALD (Atomic Layer Deposition)
134 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
135 ASM International Eagle XP PECVD (Chemical Vapor Deposition)
136 ASM International Eagle XP PECVD (Chemical Vapor Deposition)
137 ASM International A412 Vertical Atmospheric Furnace
138 ASM International Epsilon E3200 Epitaxial Silicon (EPI)
139 ASM International A412 Doped Poly Vertical LPCVD Furnace
140 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
141 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
142 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
143 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
144 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition)
145 Aviza Technology, Inc. RVP-300 Vertical Diffusion Furnace
146 Axcelis Technologies Inc. Radiantstrip 320 Stripper/Asher
147 Axcelis Technologies Inc. RapidStrip 320 Stripper/Asher
148 Axcelis Technologies Inc. HE3 High Energy Implanter
149 Axcelis Technologies Inc. RapidStrip 320 Stripper/Asher
150 Axcelis Technologies Inc. RapidStrip 320 Stripper/Asher
151 Axcelis Technologies Inc. RapidStrip 320 Stripper/Asher
152 Axcelis Technologies Inc. RapidStrip 320 Stripper/Asher
153 Brooks Automation, Inc. MTX 4020 Wafer Sorter
154 Cambridge Nanotech Savannah S200 ALD (Atomic Layer Deposition)
155 CAMECA EX-300 Implant Dosing Measurement
156 Canon Surpass 320 Stripper/Asher
157 Canon Surpass 320 Stripper/Asher
158 Canon Surpass 320 Stripper/Asher
159 Canon Surpass 320 Stripper/Asher
160 Canon Surpass 320 Stripper/Asher
161 Canon Surpass 320 Stripper/Asher
162 Carl Zeiss Group Axiotron 300 Microscope
163 Cascade Alessi REL-5500 Engineering Wafer Prober
164 Dainippon Screen Mfg. Co. FC-3000 Batch Wafer Processing
165 Dainippon Screen Mfg. Co. SS-3000 Wafer Scrubber
166 Dainippon Screen Mfg. Co. SS-3000 Wafer Scrubber
167 Dainippon Screen Mfg. Co. SU-3000 Single Wafer Processing
168 Dainippon Screen Mfg. Co. SU-3000 Single Wafer Processing
169 Dainippon Screen Mfg. Co. SS-3000 Wafer Scrubber
170 Dainippon Screen Mfg. Co. SS-3000 Wafer Scrubber
171 Dainippon Screen Mfg. Co. SU-3000 Single Wafer Processing
172 Dainippon Screen Mfg. Co. SU-3000 Single Wafer Processing
173 Delta Design 1688-ES Pick & Place SOC Handler
174 Delta Design Pyramid Pick & Place SOC Handler
175 Delta Design Pyramid Pick & Place SOC Handler
176 Delta Design Pyramid Pick & Place SOC Handler
177 Delta Design Pyramid Pick & Place SOC Handler
178 Disco Hi-Tec DFL7361 Laser Saw
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 4 of 13 Appreciate your time! sales@semistarcorp.com
179 Ebara AA200W Dry Pump
180 Ebara ESR 201W Dry Pump
181 Ebara AA200W Dry Pump
182 Ebara AA200W Dry Pump
183 Ebara AA200W Dry Pump
184 Ebara AA200W Dry Pump
185 Ebara AA200W Dry Pump
186 Ebara AA200W Dry Pump
187 Ebara AA200W Dry Pump
188 Ebara AA200W Dry Pump
189 Ebara ESA25-D Dry Pump
190 Ebara FREX300S Dielectric CMP
191 Edwards GX600N Dry Pump
192 Edwards HMB3000 Booster of dry pump
193 Edwards iL70 Dry Pump
194 Edwards Misc Pump Parts Dry Pump
195 Edwards IH1800 Dry Pump
196 Edwards EPX180N Dry Pump
197 Edwards iH1800SC Dry Pump
198 Edwards Atlas TPU+WESP Abatement - Scrubber
199 Edwards PHX6000 Dry Pump
200 Edwards PHX6000 Dry Pump
201 Edwards PHX6000 Dry Pump
202 Edwards PHX6000 Dry Pump
203 Edwards PHX6000 Dry Pump
204 Edwards PHX6000 Dry Pump
205 Edwards HMB3000 Booster of dry pump
206 Edwards iL70 Dry Pump
207 Edwards iL70 Dry Pump
208 Edwards iL70 Dry Pump
209 Edwards iL70 Dry Pump
210 Edwards iL70 Dry Pump
211 Edwards iL70 Dry Pump
212 Edwards EPX180LE Dry Pump
213 Edwards EPX180LE Dry Pump
214 Edwards EPX180LE Dry Pump
215 Edwards EPX180LE Dry Pump
216 Edwards EPX180N Dry Pump
217 Edwards IH1800 Dry Pump
218 Edwards IH1800 Dry Pump
219 Edwards IH1800 Dry Pump
220 Edwards iXH4550HT Dry Pump
221 Edwards iH1000 Dry Pump
222 Edwards iH1000SC Dry Pump
223 Edwards IH1800 Dry Pump
224 Edwards IH1800HTX Dry Pump
225 Edwards iH1800SC Dry Pump
226 Edwards iH80 Dry Pump
227 Edwards iH600 Dry Pump
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 5 of 13 Appreciate your time! sales@semistarcorp.com
228 EO Technics WTM200 Laser Scribe
229 ESCO Ltd. EMD-WA1000S Temperature Desorption Analyzer
230 Espec IPHH-201 Environmental Chamber
231 Espec IPHH-201 Environmental Chamber
232 Espec EGNU28-12CWL Environmental Chamber
233 Espec ESX-3CW Environmental Chamber
234
FA Systems Automation (S) Pte
Ltd. Wire Bond Inspection Wire bond inspection (3VI/3O)
235 Felicity Technology (FSE) FSE-CS-300 Evaporator Deposition Equipment
236 GDO 1862-14 Abatement - CDO Scrubber
237 GS Industry Parts Cleaner (Organic) Parts Cleaner/Dryer
238 Hamamatsu iPHEMOS-TP Electrical Failure Analysis
239 Hermes Microvision (HMI) eP3 XP E-beam Inspection
240 Hermes Microvision (HMI) eP3 XP E-beam Inspection
241 Hitachi RCF3550AZP1 Chiller/Heat Exchanger
242 Hitachi (Semiconductor) U-702 Metal Etch
243 Hitachi (Semiconductor) CG5000 SEM
244 Hitachi (Semiconductor) CG5000 SEM
245 Hitachi (Semiconductor) CG5000 SEM
246 Hitachi (Semiconductor) S-9380 II SEM - Critical Dimension (CD) Measurement
247 Hitachi (Semiconductor) RS-6000 SEM - Defect Review (DR)
248 Hitachi (Semiconductor) CG5000 SEM
249 Hitachi (Semiconductor) CG5000 SEM
250 Hitachi (Semiconductor) U-7050A Metal Etch
251 Hitachi (Semiconductor) CG-4100 SEM - Critical Dimension (CD) Measurement
252 Hitachi (Semiconductor) CG-4100 SEM - Critical Dimension (CD) Measurement
253 Hitachi (Semiconductor) CG-4100 SEM - Critical Dimension (CD) Measurement
254 HSEB Dresden GMBH Axiospect 300 Optical Review System
255 JEOL JWS-7555 SEM - Defect Review (DR)
256 kaijo KRF-300 Carrier/Pod Cleaner
257 kaijo KRF-300 Carrier/Pod Cleaner
258 kaijo KRF-300 Carrier/Pod Cleaner
259 kaijo KRF-300 Carrier/Pod Cleaner
260 kaijo KRF-300 Carrier/Pod Cleaner
261 kaijo KRF-300 Carrier/Pod Cleaner
262 kaijo KRF-300 Carrier/Pod Cleaner
263 kaijo KRF-300 Carrier/Pod Cleaner
264 Kaijo Corporation SFT-305e Batch Wafer Processing
265 Kaijo Corporation SFT-305 Batch Wafer Processing
266 Kaijo Corporation SFT-300 Batch Wafer Processing
267 Kashiyama Ind., Ltd. MU100XU Dry Pump
268 Kashiyama Ind., Ltd. MU100XU Dry Pump
269 Kashiyama Ind., Ltd. MU100XU Dry Pump
270 Kashiyama Ind., Ltd. NeoDry36C Dry Pump
271 KLA-Tencor Corp.
KLA-TENCOR DUAL SMIF
HANDLER Parts/Options
272 KLA-Tencor Corp.
KLA-TENCOR DUAL SMIF
HANDLER Parts/Options
273 KLA-Tencor Corp. Puma 9650 Darkfield Inspection
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 6 of 13 Appreciate your time! sales@semistarcorp.com
274 KLA-Tencor Corp. Puma 9120 Darkfield Inspection
275 KLA-Tencor Corp. eS20XP E-beam Inspection
276 KLA-Tencor Corp. eS32 E-beam Inspection
277 KLA-Tencor Corp. eS32 E-beam Inspection
278 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System
279 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System
280 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System
281 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System
282 KLA-Tencor Corp. Archer 300 Overlay Measurement System
283 KLA-Tencor Corp. Archer 300 Overlay Measurement System
284 KLA-Tencor Corp. Archer 300 Overlay Measurement System
285 KLA-Tencor Corp. Archer XT+ Overlay Measurement System
286 KLA-Tencor Corp. Archer XT+ Overlay Measurement System
287 KLA-Tencor Corp. AIT UV Darkfield Inspection
288 KLA-Tencor Corp. AIT UV Darkfield Inspection
289 KLA-Tencor Corp. Archer 300 Overlay Measurement System
290 KLA-Tencor Corp.
KLA-TENCOR DUAL OPEN
HANDLER Parts/Options
291 KLA-Tencor Corp. SpectraCD 100 Film Thickness Measurement System
292 KLA-Tencor Corp. Puma 9130 Darkfield Inspection
293 KLA-Tencor Corp. Puma 9130 Darkfield Inspection
294 KLA-Tencor Corp. Puma 9120 Darkfield Inspection
295 Kokusai Electric Co., Ltd. Quixace II Doped Poly Vertical LPCVD Furnace
296 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace
297 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace
298 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace
299 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace
300 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace
301 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace
302 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace
303 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace
304 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace
305 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace
306 Kokusai Electric Co., Ltd. Quixace Nitride Vertical LPCVD Furnace
307 Kokusai Electric Co., Ltd. Quixace II ALD High-k Vertical LPCVD Furnace
308 Kokusai Electric Co., Ltd. Quixace II ALD High-k Vertical LPCVD Furnace
309 Kokusai Electric Co., Ltd. Quixace II ALD High-k Vertical LPCVD Furnace
310 Kokusai Electric Co., Ltd. Quixace II ALD High-k Vertical LPCVD Furnace
311 Kokusai Electric Co., Ltd. Quixace II Vertical Diffusion Furnace
312 Kokusai Electric Co., Ltd. Quixace II Poly Vertical LPCVD Furnace
313 Kokusai Electric Co., Ltd. Quixace II Doped Poly Vertical LPCVD Furnace
314 Kokusai Electric Co., Ltd. Quixace II Doped Poly Vertical LPCVD Furnace
315 Kokusai Electric Co., Ltd. Quixace II Doped Poly Vertical LPCVD Furnace
316 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace
317 Kokusai Electric Co., Ltd. Quixace Nitride Vertical LPCVD Furnace
318 Kulicke & Soffa Ind. Inc. (ADT) 7500 Wafer Dicing Saw
319 Kulicke & Soffa Ind. Inc. (ADT) 7500 Wafer Dicing Saw
320 Kulicke & Soffa Ind. Inc. (ADT) 7500 Wafer Dicing Saw
321 Kulicke & Soffa Ind. Inc. (ADT) 7500 Wafer Dicing Saw
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 7 of 13 Appreciate your time! sales@semistarcorp.com
322 Kulicke & Soffa Ind. Inc. (ADT) 7500 Wafer Dicing Saw
323 Kulicke & Soffa Ind. Inc. (KnS) AT Premier PLUS Wire Bonder
324 LAM Research 2300e5 KIYO 45 Polysilicon Etch
325 LAM Research 2300e5 KIYO 45 Polysilicon Etch
326 LAM Research 2300e5 KIYO 45 Polysilicon Etch
327 LAM Research 2300e5 KIYO 45 Polysilicon Etch
328 LAM Research 2300e5 KIYO 45 Polysilicon Etch
329 LAM Research 2300e5 KIYO 45 Polysilicon Etch
330 LAM Research 2300e5 KIYO 45 Polysilicon Etch
331 LAM Research 2300 Exelan Flex EX Dielectric Etch
332 LAM Research 2300 Exelan Flex 45 Dielectric Etch
333 LAM Research 2300 Exelan Flex EX Dielectric Etch
334 LAM Research 2300 SELIS - Chamber Only Dielectric Etch
335 LAM Research 2300 Exelan Flex 45 Dielectric Etch
336 LAM Research 2300 Exelan Flex 45 Dielectric Etch
337 LAM Research 2300 Exelan Flex EX+ Dielectric Etch
338 LAM Research 2300 Exelan Flex EX Dielectric Etch
339 LAM Research 2300 Exelan Flex EX Dielectric Etch
340 LAM Research 2300 Exelan Flex EX+ Dielectric Etch
341 LAM Research
2300 Exelan Flex - Chamber
Only Dielectric Etch
342 LAM Research
2300 Exelan Flex - Chamber
Only Dielectric Etch
343 LAM Research
2300 Exelan Flex - Chamber
Only Dielectric Etch
344 LAM Research 2300 Exelan Flex EX+ Dielectric Etch
345 LAM Research 2300 Exelan Flex EX+ Dielectric Etch
346 LAM Research 2300 KIYO 45 Multi-Process Etch
347 LAM Research 2300 KIYO MCX Metal Etch
348 LAM Research 2300e4 KIYO MCX Metal Etch
349 LAM Research
2300 Exelan Flex - Chamber
Only Dielectric Etch
350 LAM Research 2300 Exelan Flex EX+ Dielectric Etch
351 LAM Research 2300 Exelan Flex EX+ Dielectric Etch
352 LAM Research 2300 Exelan Flex 45 Dielectric Etch
353 LAM Research 2300 Exelan Flex EX Dielectric Etch
354 LAM Research 2300 Exelan - TM Only Dielectric Etch
355 Leica Inc. INS10 Microscope
356 Leica Inc. INM20 Microscope
357 Leica Inc. LDS3300C Macro-Defect
358 Leica Inc. INS3300 Macro-Defect
359 Matheson Tri-Gas, Inc. SEMI-GAS Chemical/Gas Storage & Delivery
360 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher
361 miscellaneous furniture Office Tables and Chairs Office
362 Mitsubishi DWC-90 Wire EDM (Electrical Discharge Machine)
363 MPI Corporation LEDA P6801 LED Die Prober
364 Muratec Murata Machinery, SRC330 Wafer Stocker
365 Nanometrics Inc. Atlas II+
Critical Dimension (CD) Measurement (non
SEM)
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 8 of 13 Appreciate your time! sales@semistarcorp.com
366 Nanometrics Inc. Atlas II+
Critical Dimension (CD) Measurement (non
SEM)
367 Nanometrics Inc. Atlas Film Thickness Measurement System
368 Nanometrics Inc. Atlas II+
Critical Dimension (CD) Measurement (non
SEM)
369 Nextest Systems Corporation Maverick I PT Design Verification Tester
370 Nextest Systems Corporation Maverick I PT Design Verification Tester
371 Nikon AMI-3500 Macro-Defect
372 Nikon AMI-3300 Macro-Defect
373 Nikon AMI-3300 Macro-Defect
374 Nikon OPTIPHOT 200 Microscope
375 Nikon AMI-3000 Macro-Defect
376 Nikon AMI-3000 Macro-Defect
377 Nikon AMI-3500 Macro-Defect
378 Nikon NSR-S308F 193nm (ArF) Scanner
379 Nikon OPTISTATION V Optical Review System
380 Nikon OPTISTATION V Optical Review System
381 Nikon OPTISTATION 3100 Optical Review System
382 Nikon OPTISTATION 3100 Optical Review System
383 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
384 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
385 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
386 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
387 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
388 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
389 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
390 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter
391 Nissin Electric Co., Ltd. EXCEED 2300 Mid Current Implanter
392 Nissin Electric Co., Ltd. EXCEED 2300 Mid Current Implanter
393 Nissin Electric Co., Ltd. Exceed 2300AV Mid Current Implanter
394 Nissin Electric Co., Ltd. Exceed 2300AV Mid Current Implanter
395 Nissin Electric Co., Ltd. Exceed 2300AV Mid Current Implanter
396 Novellus Systems Inc. VECTOR Express PECVD (Chemical Vapor Deposition)
397 Novellus Systems Inc. VECTOR Express PECVD (Chemical Vapor Deposition)
398 Novellus Systems Inc.
Concept Three Altus
Inflection FFWA - Chamber WCVD (Chemical Vapor Deposition)
399 Novellus Systems Inc. VECTOR Express PECVD (Chemical Vapor Deposition)
400 Novellus Systems Inc. Concept Three Altus Max EFX WCVD (Chemical Vapor Deposition)
401 Novellus Systems Inc. GAMMA 2130 Stripper/Asher
402 Novellus Systems Inc. GAMMA Express Stripper/Asher
403 Novellus Systems Inc. GAMMA Express Stripper/Asher
404 Novellus Systems Inc. GAMMA Express Stripper/Asher
405 Novellus Systems Inc. Concept Three Altus Max WCVD (Chemical Vapor Deposition)
406 Novellus Systems Inc. VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition)
407 Oxford Instruments Plasmalab 80 Plus Multi-Process Etch
408 Oxford Instruments X-Strata980 X-ray Fluorescence Spectrometer
409 P.S.K. Tech Inc. Supra IV Stripper/Asher
410 P.S.K. Tech Inc. Tigma N Stripper/Asher
411 Plasma System Corp. DES-220 Stripper/Asher
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 9 of 13 Appreciate your time! sales@semistarcorp.com
412 Plasma System Corp. SA-2000 Stripper/Asher
413 Plasma-Therm I.P. Inc. 790 Etch Multi-Process Etch
414 Rasco SO3000 Gravity Feed SOC Handler
415 Rasco SO3000 Gravity Feed SOC Handler
416 RECIF Technologies SPP300 Wafer Sorter
417 RECIF Technologies SRT300 Wafer Sorter
418 RECIF Technologies SPP300 Wafer Sorter
419 RECIF Technologies SPP300 Wafer Sorter
420 RECIF Technologies SIS300 Wafer Sorter
421 ReVera RVX1000 Film Thickness Measurement System
422 ReVera RVX1000 Film Thickness Measurement System
423 ReVera RVX1000 Film Thickness Measurement System
424 Rigaku MFM310 X-ray Reflectivity (XRR)
425 Rudolph Technologies, Inc. Axi 930 Macro-Defect
426 Rudolph Technologies, Inc. Axi 930 Macro-Defect
427 Rudolph Technologies, Inc. Axi 935 Macro-Defect
428 Rudolph Technologies, Inc. ultra-II Ellipsometer
429 Rudolph Technologies, Inc. S3000A Ellipsometer
430 Rudolph Technologies, Inc. S3000A Ellipsometer
431 Rudolph Technologies, Inc. S3000A Ellipsometer
432 S.E.S. CO., LTD. BW3000X Batch Wafer Processing
433 S.E.S. CO., LTD. BW3000X Batch Wafer Processing
434 Semitool Inc. Raider SP310 Single Wafer Processing
435 SEZ Group SP323 Single Wafer Processing
436 Shibaura Engineering Works Ltd. CDE-300 Metal Etch
437 Sokudo Co., Ltd. RF-300A Multi Block (Resist Coater/Developer)
438 SRM INTEGRATION (M) Sdn. XD248 Test Handler
439 SRM INTEGRATION (M) Sdn. XD248 Test Handler
440 Standard Research Systems
SR560 — Low-noise voltage
preamplifier Parts/Peripherals
441 Sumitomo Eaton Nova (SEN) NV-GSD-HE3 High Energy Implanter
442 Sumitomo Eaton Nova (SEN) NV-GSD-HE3 High Energy Implanter
443 Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter
444 Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter
445 Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter
446 Tecdia Inc. TEC-1228AL Wafer Breaker
447 Teikoku Taping System Co., Ltd. DXL2-800HS-BL-CE Tape Laminator
448 Tescan FERA3 XMH FE SEM
449 Tokyo Electron Limited. C355 Chiller for Cold Temp Prober
450 Tokyo Electron Limited. C355 Chiller for Cold Temp Prober
451 Tokyo Electron Ltd. Telius 305 SCCM Dielectric Etch
452 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
453 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
454 Tokyo Electron Ltd. Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition)
455 Tokyo Electron Ltd. TELINDY Plus ALD High-K Vertical Furnace - Other
456 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
457 Tokyo Electron Ltd. TELFORMULA Anneal Vertical Anneal Furnace
458 Tokyo Electron Ltd. TELFORMULA Anneal Vertical Anneal Furnace
459 Tokyo Electron Ltd. TELFORMULA Anneal Vertical Anneal Furnace
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 10 of 13 Appreciate your time! sales@semistarcorp.com
460 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
461 Tokyo Electron Ltd. TELINDY Plus ALD High-K Vertical Furnace - Other
462 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
463 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
464 Tokyo Electron Ltd. Tactras Vigus Dielectric Etch
465 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
466 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
467 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
468 Tokyo Electron Ltd. TELINDY Oxide Vertical LPCVD Furnace
469 Tokyo Electron Ltd. Cellesta+ Single Wafer Processing
470 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
471 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
472 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
473 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
474 Tokyo Electron Ltd. Expedius Batch Wafer Processing
475 Tokyo Electron Ltd. Telius SP-305 SCCM Dielectric Etch
476 Tokyo Electron Ltd. Telius SP-305 SCCM Dielectric Etch
477 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
478 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
479 Tokyo Electron Ltd. CLEAN TRACK LITHIUS i+ Multi Block (Resist Coater/Developer)
480 Tokyo Electron Ltd. Tactras Vigus Dielectric Etch
481 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace
482 Tokyo Electron Ltd. UW200Z Batch Wafer Processing
483 Tokyo Electron Ltd. Tactras RLSA Poly Polysilicon Etch
484 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
485 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace
486 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace
487 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
488 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
489 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
490 Tokyo Electron Ltd. NS 300 Wafer Scrubber
491 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
492 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
493 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
494 Tokyo Electron Ltd. TELINDY Plus IRAD Oxide Vertical LPCVD Furnace
495 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
496 Tokyo Electron Ltd. TELINDY Oxide Vertical LPCVD Furnace
497 Tokyo Electron Ltd. Telius 305 DRM Dielectric Etch
498 Tokyo Electron Ltd.
Tactras Vigus RK3 - Chamber
Only Dielectric Etch
499 Tokyo Electron Ltd. CLEAN TRACK ACT 8 Single Block (Coat/Develop)
500 Tokyo Electron Ltd. CLEAN TRACK ACT 8 Multi Block (Resist Coater/Developer)
501 Tokyo Electron Ltd.
Tactras Vigus RK3 - Chamber
Only Dielectric Etch
502 Tokyo Electron Ltd. UW300Z Batch Wafer Processing
503 Tokyo Electron Ltd. Trias W - Chamber Only Metal CVD (Chemical Vapor Deposition)
504 Tokyo Electron Ltd. TELFORMULA Vertical Diffusion Furnace
505 Tokyo Electron Ltd. TELFORMULA Vertical Diffusion Furnace
506 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 11 of 13 Appreciate your time! sales@semistarcorp.com
507 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
508 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
509 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
510 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
511 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
512 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
513 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
514 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
515 Tokyo Electron Ltd. Trias W MOCVD
516 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace
517 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace
518 Tokyo Electron Ltd. Telius 305 DRM Dielectric Etch
519 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
520 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace
521 Tokyo Electron Ltd. Trias Chamber Parts/Peripherals
522 Tokyo Electron Ltd. Trias Chamber Parts/Peripherals
523 Tokyo Electron Ltd. Trias Chamber Parts/Peripherals
524 Tokyo Electron Ltd. Trias Chamber Parts/Peripherals
525 Tokyo Electron Ltd. ALPHA-303i Anneal Vertical Anneal Furnace
526 Tokyo Electron Ltd. ALPHA-303i Anneal Vertical Anneal Furnace
527 Tokyo Electron Ltd. ALPHA-303i Anneal Vertical Anneal Furnace
528 Tokyo Electron Ltd. Telius 305 DRM Dielectric Etch
529 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
530 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
531 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
532 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
533 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
534 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
535 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
536 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
537 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
538 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
539 Tokyo Electron Ltd. CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer)
540 Tokyo Electron Ltd. Trias W MOCVD
541 Tokyo Electron Ltd. Triase+ SPA Metal CVD (Chemical Vapor Deposition)
542 Tokyo Electron Ltd. CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer)
543 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
544 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
545 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
546 Tokyo Electron Ltd. Trias Ti/TiN Chamber Parts/Peripherals
547 Tokyo Electron Ltd. Trias Ti/TiN Chamber Parts/Peripherals
548 Tokyo Electron Ltd. Trias Ti/TiN Chamber Parts/Peripherals
549 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
550 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
551 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace
552 Tokyo Electron Ltd. TELINDY Plus ALD High-K Vertical Furnace - Other
553 Tokyo Electron Ltd. NEXX Apollo Sputtering System
554 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
555 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 12 of 13 Appreciate your time! sales@semistarcorp.com
556 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
557 Tokyo Electron Ltd. Trias Ti/TiN Chamber Parts/Peripherals
558 Tokyo Electron Ltd. TELINDY Plus ALD High-K Vertical Furnace - Other
559 Tokyo Electron Ltd. TELINDY Plus ALD High-K Vertical Furnace - Other
560 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
561 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
562 Tokyo Electron Ltd. ALPHA-303i Vertical Diffusion Furnace
563 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace
564 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition)
565 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace
566 Tokyo Electron Ltd. Telius SP 305 DRM Dielectric Etch
567 Tokyo Electron Ltd. (TEL) P-12XLn Production Wafer Prober
568 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
569 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
570 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
571 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
572 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
573 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
574 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober
575 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober
576 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober
577 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober
578 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober
579 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober
580 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober
581 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober
582 Tokyo Ohka Kogyo Co., Ltd. TWM8233 Fully-Automated Wafer Bonder
583 Toyota Industries Corporation T100L Dry Pump
584 Toyota Industries Corporation T-1000 Dry Pump
585 Toyota Industries Corporation T-1000 Dry Pump
586 Toyota Industries Corporation T100L Dry Pump
587 Toyota Industries Corporation T100L Dry Pump
588 Toyota Industries Corporation T100L Dry Pump
589 Toyota Industries Corporation T100L Dry Pump
590
Varian Semiconductor
Equipment Associates VIISta PLAD High Dose Implant
591
Varian Semiconductor
Equipment Associates VIISta 3000XP High Energy Implanter
592 Veeco Instruments Inc. Dimension 7000 Atomic Force Microscope (AFM)
593 Veeco Instruments Inc. Dimension X1D Atomic Force Microscope (AFM)
594 Veeco Instruments Inc. Dimension X1D Atomic Force Microscope (AFM)
595 Veeco Instruments Inc. Dimension Vx 340 Atomic Force Profiler (AFP)
596 Veeco Instruments Inc. Dimension X3D Atomic Force Microscope (AFM)
597 Verigy (Agilent) V4400 Memory Tester
598 Verigy (Agilent) V4400 Memory Tester
599 Verigy (Agilent) V4400 Memory Tester
600 Verigy (Agilent) V4400 Memory Tester
Please email us for more info/ the availability of these equipment. These are subject to prior sale.
ID-5319-0-4 13 of 13 Appreciate your time! sales@semistarcorp.com

More Related Content

What's hot

M4 ndt me 367 introductiontoultrasonictesting
M4 ndt me 367 introductiontoultrasonictestingM4 ndt me 367 introductiontoultrasonictesting
M4 ndt me 367 introductiontoultrasonictestingHareesh K
 
Guest speaker presentation at 'Seminar Offshore Wind Energy' UGent – June 201...
Guest speaker presentation at 'Seminar Offshore Wind Energy' UGent – June 201...Guest speaker presentation at 'Seminar Offshore Wind Energy' UGent – June 201...
Guest speaker presentation at 'Seminar Offshore Wind Energy' UGent – June 201...Pieter Jan Jordaens
 
Turbine blade faliure
Turbine blade faliureTurbine blade faliure
Turbine blade faliureskncoe86
 
Wind Turbine Generators
Wind Turbine GeneratorsWind Turbine Generators
Wind Turbine GeneratorsJasjot Singh
 
Design and construction of wind turbine towers for maximum power generation
Design and construction of wind turbine towers for maximum power generationDesign and construction of wind turbine towers for maximum power generation
Design and construction of wind turbine towers for maximum power generationAakash Bagchi
 
Repowering and Used Wind Turbines
Repowering and Used Wind TurbinesRepowering and Used Wind Turbines
Repowering and Used Wind TurbinesLeonardo ENERGY
 
Ut procedure general
Ut procedure generalUt procedure general
Ut procedure generalnaiduvs
 
Introduction to Investment casting and Turbine Blade.pptx
Introduction to Investment casting and Turbine Blade.pptxIntroduction to Investment casting and Turbine Blade.pptx
Introduction to Investment casting and Turbine Blade.pptxEr. Yash shinde
 
Welding processes
Welding processesWelding processes
Welding processesDr Ibrahim
 
Airborne wind energy system ppt
Airborne wind energy system pptAirborne wind energy system ppt
Airborne wind energy system pptAnkit Panghal
 
Energy Efficiency and Energy Star labels
Energy Efficiency and Energy Star labelsEnergy Efficiency and Energy Star labels
Energy Efficiency and Energy Star labelsLeonardo ENERGY
 

What's hot (17)

M4 ndt me 367 introductiontoultrasonictesting
M4 ndt me 367 introductiontoultrasonictestingM4 ndt me 367 introductiontoultrasonictesting
M4 ndt me 367 introductiontoultrasonictesting
 
Gas Metal Arc Welding
Gas Metal Arc WeldingGas Metal Arc Welding
Gas Metal Arc Welding
 
Guest speaker presentation at 'Seminar Offshore Wind Energy' UGent – June 201...
Guest speaker presentation at 'Seminar Offshore Wind Energy' UGent – June 201...Guest speaker presentation at 'Seminar Offshore Wind Energy' UGent – June 201...
Guest speaker presentation at 'Seminar Offshore Wind Energy' UGent – June 201...
 
Turbine blade faliure
Turbine blade faliureTurbine blade faliure
Turbine blade faliure
 
Ultrasonic welding
Ultrasonic welding Ultrasonic welding
Ultrasonic welding
 
Wind Turbine Generators
Wind Turbine GeneratorsWind Turbine Generators
Wind Turbine Generators
 
Wind turbine blade efficiency
Wind turbine blade efficiencyWind turbine blade efficiency
Wind turbine blade efficiency
 
Design and construction of wind turbine towers for maximum power generation
Design and construction of wind turbine towers for maximum power generationDesign and construction of wind turbine towers for maximum power generation
Design and construction of wind turbine towers for maximum power generation
 
Repowering and Used Wind Turbines
Repowering and Used Wind TurbinesRepowering and Used Wind Turbines
Repowering and Used Wind Turbines
 
Ut procedure general
Ut procedure generalUt procedure general
Ut procedure general
 
Introduction to Investment casting and Turbine Blade.pptx
Introduction to Investment casting and Turbine Blade.pptxIntroduction to Investment casting and Turbine Blade.pptx
Introduction to Investment casting and Turbine Blade.pptx
 
NDT in aircraft
NDT in aircraftNDT in aircraft
NDT in aircraft
 
Wind Energy
Wind EnergyWind Energy
Wind Energy
 
Ultrasonic Welding
Ultrasonic WeldingUltrasonic Welding
Ultrasonic Welding
 
Welding processes
Welding processesWelding processes
Welding processes
 
Airborne wind energy system ppt
Airborne wind energy system pptAirborne wind energy system ppt
Airborne wind energy system ppt
 
Energy Efficiency and Energy Star labels
Energy Efficiency and Energy Star labelsEnergy Efficiency and Energy Star labels
Energy Efficiency and Energy Star labels
 

Similar to Semiconductor Equipment Inventory

Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List Emily Tan
 
Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684Emily Tan
 
Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Emily Tan
 
AMS - Arctic Pad - Technical Information
AMS - Arctic Pad - Technical InformationAMS - Arctic Pad - Technical Information
AMS - Arctic Pad - Technical Informationjphawkins
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Emily Tan
 
CCS presentation_NADCAP_Groundsupport_etc_part_II
CCS presentation_NADCAP_Groundsupport_etc_part_IICCS presentation_NADCAP_Groundsupport_etc_part_II
CCS presentation_NADCAP_Groundsupport_etc_part_IIketan.pole
 
FDC Catalog (English)
FDC Catalog (English)FDC Catalog (English)
FDC Catalog (English)jehsan
 
Le price training presentation
Le price training presentationLe price training presentation
Le price training presentationzainudinyahya
 
Advancement in surface engineering processes by spraymet
Advancement  in surface engineering processes by spraymetAdvancement  in surface engineering processes by spraymet
Advancement in surface engineering processes by spraymetAnand, P T Bindagi
 
Allwin21 and main products
Allwin21 and main productsAllwin21 and main products
Allwin21 and main productsPeter Chen
 
ADVANCEMENTS IN SURFACE ENGINEERING PROCESSES.pptx
ADVANCEMENTS IN SURFACE ENGINEERING PROCESSES.pptxADVANCEMENTS IN SURFACE ENGINEERING PROCESSES.pptx
ADVANCEMENTS IN SURFACE ENGINEERING PROCESSES.pptxSanDeepSharma926061
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corpEmily Tan
 
Arx Presentation ( CeraDyna )
Arx Presentation ( CeraDyna )Arx Presentation ( CeraDyna )
Arx Presentation ( CeraDyna )Arxtech Canada
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi starEmily Tan
 
Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Emily Tan
 
Quenching Oils | Hardcastle Petrofer
Quenching Oils | Hardcastle PetroferQuenching Oils | Hardcastle Petrofer
Quenching Oils | Hardcastle Petroferrohankumar445
 
Quenching Oils - Manufacturer & Supplier in India | Hardcastle Petrofer
Quenching Oils - Manufacturer & Supplier in India | Hardcastle PetroferQuenching Oils - Manufacturer & Supplier in India | Hardcastle Petrofer
Quenching Oils - Manufacturer & Supplier in India | Hardcastle Petroferrohankumar445
 
Quenching Oils - Manufacturer & Supplier in India | Hardcastle Petrofer
Quenching Oils - Manufacturer & Supplier in India | Hardcastle PetroferQuenching Oils - Manufacturer & Supplier in India | Hardcastle Petrofer
Quenching Oils - Manufacturer & Supplier in India | Hardcastle Petroferrohankumar445
 
Quenchingoils 191211054848
Quenchingoils 191211054848Quenchingoils 191211054848
Quenchingoils 191211054848RaviKelkar2
 
Quenching Oils | Hardcastle Petrofer
Quenching Oils | Hardcastle PetroferQuenching Oils | Hardcastle Petrofer
Quenching Oils | Hardcastle Petroferrohankumar445
 

Similar to Semiconductor Equipment Inventory (20)

Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List
 
Semicoductor equipment may 23th 2020 id-5684
Semicoductor equipment may 23th  2020 id-5684Semicoductor equipment may 23th  2020 id-5684
Semicoductor equipment may 23th 2020 id-5684
 
Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1Semiconductor Equipment-SS4781-1
Semiconductor Equipment-SS4781-1
 
AMS - Arctic Pad - Technical Information
AMS - Arctic Pad - Technical InformationAMS - Arctic Pad - Technical Information
AMS - Arctic Pad - Technical Information
 
Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1Semiconductor Equipment List - ID-5335-1-1
Semiconductor Equipment List - ID-5335-1-1
 
CCS presentation_NADCAP_Groundsupport_etc_part_II
CCS presentation_NADCAP_Groundsupport_etc_part_IICCS presentation_NADCAP_Groundsupport_etc_part_II
CCS presentation_NADCAP_Groundsupport_etc_part_II
 
FDC Catalog (English)
FDC Catalog (English)FDC Catalog (English)
FDC Catalog (English)
 
Le price training presentation
Le price training presentationLe price training presentation
Le price training presentation
 
Advancement in surface engineering processes by spraymet
Advancement  in surface engineering processes by spraymetAdvancement  in surface engineering processes by spraymet
Advancement in surface engineering processes by spraymet
 
Allwin21 and main products
Allwin21 and main productsAllwin21 and main products
Allwin21 and main products
 
ADVANCEMENTS IN SURFACE ENGINEERING PROCESSES.pptx
ADVANCEMENTS IN SURFACE ENGINEERING PROCESSES.pptxADVANCEMENTS IN SURFACE ENGINEERING PROCESSES.pptx
ADVANCEMENTS IN SURFACE ENGINEERING PROCESSES.pptx
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
 
Arx Presentation ( CeraDyna )
Arx Presentation ( CeraDyna )Arx Presentation ( CeraDyna )
Arx Presentation ( CeraDyna )
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi star
 
Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020
 
Quenching Oils | Hardcastle Petrofer
Quenching Oils | Hardcastle PetroferQuenching Oils | Hardcastle Petrofer
Quenching Oils | Hardcastle Petrofer
 
Quenching Oils - Manufacturer & Supplier in India | Hardcastle Petrofer
Quenching Oils - Manufacturer & Supplier in India | Hardcastle PetroferQuenching Oils - Manufacturer & Supplier in India | Hardcastle Petrofer
Quenching Oils - Manufacturer & Supplier in India | Hardcastle Petrofer
 
Quenching Oils - Manufacturer & Supplier in India | Hardcastle Petrofer
Quenching Oils - Manufacturer & Supplier in India | Hardcastle PetroferQuenching Oils - Manufacturer & Supplier in India | Hardcastle Petrofer
Quenching Oils - Manufacturer & Supplier in India | Hardcastle Petrofer
 
Quenchingoils 191211054848
Quenchingoils 191211054848Quenchingoils 191211054848
Quenchingoils 191211054848
 
Quenching Oils | Hardcastle Petrofer
Quenching Oils | Hardcastle PetroferQuenching Oils | Hardcastle Petrofer
Quenching Oils | Hardcastle Petrofer
 

More from Emily Tan

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipmentEmily Tan
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentEmily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipmentEmily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipmentEmily Tan
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrumentEmily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipmentEmily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipmentEmily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipmentEmily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipmentEmily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEmily Tan
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Emily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEmily Tan
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryEmily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMEmily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Emily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsEmily Tan
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor EquipmentEmily Tan
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on saleEmily Tan
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaEmily Tan
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaEmily Tan
 

More from Emily Tan (20)

Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 
Semiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in AsiaSemiconductor Equipment Spare Parts in Asia
Semiconductor Equipment Spare Parts in Asia
 
Semiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in AsiaSemiconductor Equipment Parts in Asia
Semiconductor Equipment Parts in Asia
 

Recently uploaded

08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking MenDelhi Call girls
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsMemoori
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...shyamraj55
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsMark Billinghurst
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdfhans926745
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Patryk Bandurski
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)Gabriella Davis
 
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxFactors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxKatpro Technologies
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsMaria Levchenko
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptxLBM Solutions
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsEnterprise Knowledge
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking MenDelhi Call girls
 
Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Paola De la Torre
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersThousandEyes
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Alan Dix
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 3652toLead Limited
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksSoftradix Technologies
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationRidwan Fadjar
 

Recently uploaded (20)

08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men08448380779 Call Girls In Civil Lines Women Seeking Men
08448380779 Call Girls In Civil Lines Women Seeking Men
 
AI as an Interface for Commercial Buildings
AI as an Interface for Commercial BuildingsAI as an Interface for Commercial Buildings
AI as an Interface for Commercial Buildings
 
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
Automating Business Process via MuleSoft Composer | Bangalore MuleSoft Meetup...
 
Human Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR SystemsHuman Factors of XR: Using Human Factors to Design XR Systems
Human Factors of XR: Using Human Factors to Design XR Systems
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
Integration and Automation in Practice: CI/CD in Mule Integration and Automat...
 
A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)A Domino Admins Adventures (Engage 2024)
A Domino Admins Adventures (Engage 2024)
 
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptxFactors to Consider When Choosing Accounts Payable Services Providers.pptx
Factors to Consider When Choosing Accounts Payable Services Providers.pptx
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
Key Features Of Token Development (1).pptx
Key  Features Of Token  Development (1).pptxKey  Features Of Token  Development (1).pptx
Key Features Of Token Development (1).pptx
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
08448380779 Call Girls In Diplomatic Enclave Women Seeking Men
 
Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101Salesforce Community Group Quito, Salesforce 101
Salesforce Community Group Quito, Salesforce 101
 
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for PartnersEnhancing Worker Digital Experience: A Hands-on Workshop for Partners
Enhancing Worker Digital Experience: A Hands-on Workshop for Partners
 
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...Swan(sea) Song – personal research during my six years at Swansea ... and bey...
Swan(sea) Song – personal research during my six years at Swansea ... and bey...
 
Pigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping ElbowsPigging Solutions Piggable Sweeping Elbows
Pigging Solutions Piggable Sweeping Elbows
 
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
Tech-Forward - Achieving Business Readiness For Copilot in Microsoft 365
 
Benefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other FrameworksBenefits Of Flutter Compared To Other Frameworks
Benefits Of Flutter Compared To Other Frameworks
 
Pigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food ManufacturingPigging Solutions in Pet Food Manufacturing
Pigging Solutions in Pet Food Manufacturing
 
My Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 PresentationMy Hashitalk Indonesia April 2024 Presentation
My Hashitalk Indonesia April 2024 Presentation
 

Semiconductor Equipment Inventory

  • 1. No. OEM Model Process 1 Accent Optical Technologies Q200 Overlay Measurement System 2 Accretech/TSK UF3000 Production Wafer Prober 3 Accretech/TSK UF3000 Production Wafer Prober 4 Accretech/TSK UF3000 Production Wafer Prober 5 Accretech/TSK UF3000 Production Wafer Prober 6 Accretech/TSK UF3000 Production Wafer Prober 7 Accretech/TSK UF3000 Production Wafer Prober 8 Advantest T5371 Memory Tester 9 Advantest T5371 Memory Tester 10 Advantest T5371 Memory Tester 11 Advantest T5371 Memory Tester 12 Advantest T5371 Memory Tester 13 Advantest T5371 Memory Tester 14 Agilent Technologies Inc. 41000 Parametric Tester 15 Air Liquide Double Drum Cabinet Chemical/Gas Storage & Delivery 16 Air Liquide CHEMFLEX D4 Chemical/Gas Storage & Delivery 17 Air Liquide Single Drum Cabinet Chemical/Gas Storage & Delivery 18 Air Liquide Single Drum Cabinet Chemical/Gas Storage & Delivery 19 Air Liquide CHEMFLEX D4 Chemical/Gas Storage & Delivery 20 Alcatel A1803H Dry Pump 21 Alcatel AD63KH Dry Pump 22 Alcatel ADP 122P Dry Pump 23 Alcatel ADS 602P Dry Pump 24 Alcatel A1503H Dry Pump 25 Alcatel ADP 30 Dry Pump 26 Alcatel AD63KH Dry Pump 27 Alcatel ADP 122P Dry Pump 28 Alcatel ADP 122P Dry Pump 29 Alcatel ADP 122P Dry Pump 30 Alcatel A1503H Dry Pump 31 Alcatel A1503H Dry Pump 32 Alcatel A803H Dry Pump 33 Alcatel ADP 81 Dry Pump 34 Alcatel AD63KH Dry Pump 35 Alcatel ADS 1202H Dry Pump 36 Alcatel ADS 1802H Dry Pump 37 AMEC Primo SSC AD-RIE Dielectric Etch 38 AMEC Primo SSC AD-RIE Dielectric Etch 39 Amerivacs A-series Bag Sealer 40 Anelva Corp. I-4500 Multi-Process Etch 41 ANSUL AUTOPULSE 542R Fire Alarms/Suppression 42 Applied Materials Centura AP Enabler Dielectric Etch 43 Applied Materials Centura AP Enabler Dielectric Etch 44 Applied Materials Producer GT3 APFe PECVD (Chemical Vapor Deposition) 45 Applied Materials Centura AP Enabler Dielectric Etch 46 Applied Materials Reflexion - Dielectric Dielectric CMP 47 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 48 Applied Materials Reflexion - Dielectric Dielectric CMP Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 1 of 13 Appreciate your time! sales@semistarcorp.com
  • 2. 49 Applied Materials Centura AP DPS II Polysilicon Polysilicon Etch 50 Applied Materials Producer GT SiCoNi Clean PECVD (Chemical Vapor Deposition) 51 Applied Materials Endura II Chamber: TxZ CVD TiN PVD (Physical Vapor Deposition) 52 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition) 53 Applied Materials Centura AP iSprint Metal CVD (Chemical Vapor Deposition) 54 Applied Materials Centura SiNgen Chamber LPCVD 55 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 56 Applied Materials Centura ACP RP EPI Epitaxial Silicon (EPI) 57 Applied Materials Uvision 200 Brightfield Inspection 58 Applied Materials Uvision 200 Brightfield Inspection 59 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 60 Applied Materials Uvision 4 Brightfield Inspection 61 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 62 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 63 Applied Materials Quantum X Plus High Current Implanter 64 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition) 65 Applied Materials Producer SE SACVD HARP SACVD (Chemical Vapor Deposition) 66 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition) 67 Applied Materials Producer GT Eterna FCVD Chamber Only PECVD (Chemical Vapor Deposition) 68 Applied Materials Producer GT PECVD Silane - Chamber Only PECVD (Chemical Vapor Deposition) 69 Applied Materials Producer GT PECVD Silane - Chamber Only PECVD (Chemical Vapor Deposition) 70 Applied Materials Endura II Chamber: PC XT PVD (Physical Vapor Deposition) 71 Applied Materials Endura II Chamber: PC XT PVD (Physical Vapor Deposition) 72 Applied Materials Endura II Chamber: PC XT PVD (Physical Vapor Deposition) 73 Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 74 Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 75 Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 76 Applied Materials Centura AP AdvantEdge G5 Mesa T2 Poly Polysilicon Etch 77 Applied Materials Reflexion - Dielectric Dielectric CMP 78 Applied Materials Reflexion - Dielectric Dielectric CMP 79 Applied Materials Reflexion Multi-Process CMP 80 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 81 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 82 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition) 83 Applied Materials Centura AP DPS AdvantEdge Poly Polysilicon Etch 84 Applied Materials Reflexion - Dielectric Dielectric CMP 85 Applied Materials Centura AP DPS AdvantEdge G2 Metal - Chamber Only Metal Etch 86 Applied Materials Centura AP DPS AdvantEdge G2 Metal - Chamber Only Metal Etch Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 2 of 13 Appreciate your time! sales@semistarcorp.com
  • 3. 87 Applied Materials Endura II Chamber: SIP PVD (Physical Vapor Deposition) 88 Applied Materials Reflexion Multi-Process CMP 89 Applied Materials Reflexion Multi-Process CMP 90 Applied Materials SEMVision G3 Lite SEM - Defect Review (DR) 91 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 92 Applied Materials Endura II Front-End Metallization PVD (Physical Vapor Deposition) 93 Applied Materials VeritySEM 2 SEM - Critical Dimension (CD) Measurement 94 Applied Materials Endura II Front-End Metallization PVD (Physical Vapor Deposition) 95 Applied Materials Producer GT Celera PECVD (Chemical Vapor Deposition) 96 Applied Materials Producer GT Celera PECVD (Chemical Vapor Deposition) 97 Applied Materials Producer GT Celera PECVD (Chemical Vapor Deposition) 98 Applied Materials Producer SE BD/BLOk Low k Dielectric PECVD (Chemical Vapor Deposition) 99 Applied Materials Producer SE BD/BLOk Low k Dielectric PECVD (Chemical Vapor Deposition) 100 Applied Materials Producer SE SACVD HARP SACVD (Chemical Vapor Deposition) 101 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 102 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition) 103 Applied Materials Reflexion Multi-Process CMP 104 Applied Materials Uvision 4 Brightfield Inspection 105 Applied Materials Reflexion - Dielectric Dielectric CMP 106 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 107 Applied Materials Centura AP - Mainframe Only (Poly Etch) Polysilicon Etch 108 Applied Materials Reflexion Multi-Process CMP 109 Applied Materials Endura II Front-End Metallization PVD (Physical Vapor Deposition) 110 Applied Materials Centura AP eMax CT Dielectric Etch 111 Applied Materials Centura AP Ultima X HDP CVD (Chemical Vapor Deposition) 112 Applied Materials Reflexion - Dielectric Dielectric CMP 113 Applied Materials Centura AP - Mainframe Only Metal Etch 114 Applied Materials Endura II Liner/Barrier PVD (Physical Vapor Deposition) 115 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 116 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 117 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 118 ASM International A412 Nitride Vertical LPCVD Furnace 119 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 120 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 121 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 122 ASM International Eagle XP PECVD (Chemical Vapor Deposition) 123 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 124 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 125 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 126 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 127 ASM International Eagle XP PECVD (Chemical Vapor Deposition) 128 ASM International Eagle XP PECVD (Chemical Vapor Deposition) 129 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 3 of 13 Appreciate your time! sales@semistarcorp.com
  • 4. 130 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 131 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 132 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 133 ASM International Eagle XP EmerALD ALD (Atomic Layer Deposition) 134 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 135 ASM International Eagle XP PECVD (Chemical Vapor Deposition) 136 ASM International Eagle XP PECVD (Chemical Vapor Deposition) 137 ASM International A412 Vertical Atmospheric Furnace 138 ASM International Epsilon E3200 Epitaxial Silicon (EPI) 139 ASM International A412 Doped Poly Vertical LPCVD Furnace 140 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 141 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 142 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 143 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 144 ASM International Eagle-12 Rapidfire PECVD (Chemical Vapor Deposition) 145 Aviza Technology, Inc. RVP-300 Vertical Diffusion Furnace 146 Axcelis Technologies Inc. Radiantstrip 320 Stripper/Asher 147 Axcelis Technologies Inc. RapidStrip 320 Stripper/Asher 148 Axcelis Technologies Inc. HE3 High Energy Implanter 149 Axcelis Technologies Inc. RapidStrip 320 Stripper/Asher 150 Axcelis Technologies Inc. RapidStrip 320 Stripper/Asher 151 Axcelis Technologies Inc. RapidStrip 320 Stripper/Asher 152 Axcelis Technologies Inc. RapidStrip 320 Stripper/Asher 153 Brooks Automation, Inc. MTX 4020 Wafer Sorter 154 Cambridge Nanotech Savannah S200 ALD (Atomic Layer Deposition) 155 CAMECA EX-300 Implant Dosing Measurement 156 Canon Surpass 320 Stripper/Asher 157 Canon Surpass 320 Stripper/Asher 158 Canon Surpass 320 Stripper/Asher 159 Canon Surpass 320 Stripper/Asher 160 Canon Surpass 320 Stripper/Asher 161 Canon Surpass 320 Stripper/Asher 162 Carl Zeiss Group Axiotron 300 Microscope 163 Cascade Alessi REL-5500 Engineering Wafer Prober 164 Dainippon Screen Mfg. Co. FC-3000 Batch Wafer Processing 165 Dainippon Screen Mfg. Co. SS-3000 Wafer Scrubber 166 Dainippon Screen Mfg. Co. SS-3000 Wafer Scrubber 167 Dainippon Screen Mfg. Co. SU-3000 Single Wafer Processing 168 Dainippon Screen Mfg. Co. SU-3000 Single Wafer Processing 169 Dainippon Screen Mfg. Co. SS-3000 Wafer Scrubber 170 Dainippon Screen Mfg. Co. SS-3000 Wafer Scrubber 171 Dainippon Screen Mfg. Co. SU-3000 Single Wafer Processing 172 Dainippon Screen Mfg. Co. SU-3000 Single Wafer Processing 173 Delta Design 1688-ES Pick & Place SOC Handler 174 Delta Design Pyramid Pick & Place SOC Handler 175 Delta Design Pyramid Pick & Place SOC Handler 176 Delta Design Pyramid Pick & Place SOC Handler 177 Delta Design Pyramid Pick & Place SOC Handler 178 Disco Hi-Tec DFL7361 Laser Saw Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 4 of 13 Appreciate your time! sales@semistarcorp.com
  • 5. 179 Ebara AA200W Dry Pump 180 Ebara ESR 201W Dry Pump 181 Ebara AA200W Dry Pump 182 Ebara AA200W Dry Pump 183 Ebara AA200W Dry Pump 184 Ebara AA200W Dry Pump 185 Ebara AA200W Dry Pump 186 Ebara AA200W Dry Pump 187 Ebara AA200W Dry Pump 188 Ebara AA200W Dry Pump 189 Ebara ESA25-D Dry Pump 190 Ebara FREX300S Dielectric CMP 191 Edwards GX600N Dry Pump 192 Edwards HMB3000 Booster of dry pump 193 Edwards iL70 Dry Pump 194 Edwards Misc Pump Parts Dry Pump 195 Edwards IH1800 Dry Pump 196 Edwards EPX180N Dry Pump 197 Edwards iH1800SC Dry Pump 198 Edwards Atlas TPU+WESP Abatement - Scrubber 199 Edwards PHX6000 Dry Pump 200 Edwards PHX6000 Dry Pump 201 Edwards PHX6000 Dry Pump 202 Edwards PHX6000 Dry Pump 203 Edwards PHX6000 Dry Pump 204 Edwards PHX6000 Dry Pump 205 Edwards HMB3000 Booster of dry pump 206 Edwards iL70 Dry Pump 207 Edwards iL70 Dry Pump 208 Edwards iL70 Dry Pump 209 Edwards iL70 Dry Pump 210 Edwards iL70 Dry Pump 211 Edwards iL70 Dry Pump 212 Edwards EPX180LE Dry Pump 213 Edwards EPX180LE Dry Pump 214 Edwards EPX180LE Dry Pump 215 Edwards EPX180LE Dry Pump 216 Edwards EPX180N Dry Pump 217 Edwards IH1800 Dry Pump 218 Edwards IH1800 Dry Pump 219 Edwards IH1800 Dry Pump 220 Edwards iXH4550HT Dry Pump 221 Edwards iH1000 Dry Pump 222 Edwards iH1000SC Dry Pump 223 Edwards IH1800 Dry Pump 224 Edwards IH1800HTX Dry Pump 225 Edwards iH1800SC Dry Pump 226 Edwards iH80 Dry Pump 227 Edwards iH600 Dry Pump Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 5 of 13 Appreciate your time! sales@semistarcorp.com
  • 6. 228 EO Technics WTM200 Laser Scribe 229 ESCO Ltd. EMD-WA1000S Temperature Desorption Analyzer 230 Espec IPHH-201 Environmental Chamber 231 Espec IPHH-201 Environmental Chamber 232 Espec EGNU28-12CWL Environmental Chamber 233 Espec ESX-3CW Environmental Chamber 234 FA Systems Automation (S) Pte Ltd. Wire Bond Inspection Wire bond inspection (3VI/3O) 235 Felicity Technology (FSE) FSE-CS-300 Evaporator Deposition Equipment 236 GDO 1862-14 Abatement - CDO Scrubber 237 GS Industry Parts Cleaner (Organic) Parts Cleaner/Dryer 238 Hamamatsu iPHEMOS-TP Electrical Failure Analysis 239 Hermes Microvision (HMI) eP3 XP E-beam Inspection 240 Hermes Microvision (HMI) eP3 XP E-beam Inspection 241 Hitachi RCF3550AZP1 Chiller/Heat Exchanger 242 Hitachi (Semiconductor) U-702 Metal Etch 243 Hitachi (Semiconductor) CG5000 SEM 244 Hitachi (Semiconductor) CG5000 SEM 245 Hitachi (Semiconductor) CG5000 SEM 246 Hitachi (Semiconductor) S-9380 II SEM - Critical Dimension (CD) Measurement 247 Hitachi (Semiconductor) RS-6000 SEM - Defect Review (DR) 248 Hitachi (Semiconductor) CG5000 SEM 249 Hitachi (Semiconductor) CG5000 SEM 250 Hitachi (Semiconductor) U-7050A Metal Etch 251 Hitachi (Semiconductor) CG-4100 SEM - Critical Dimension (CD) Measurement 252 Hitachi (Semiconductor) CG-4100 SEM - Critical Dimension (CD) Measurement 253 Hitachi (Semiconductor) CG-4100 SEM - Critical Dimension (CD) Measurement 254 HSEB Dresden GMBH Axiospect 300 Optical Review System 255 JEOL JWS-7555 SEM - Defect Review (DR) 256 kaijo KRF-300 Carrier/Pod Cleaner 257 kaijo KRF-300 Carrier/Pod Cleaner 258 kaijo KRF-300 Carrier/Pod Cleaner 259 kaijo KRF-300 Carrier/Pod Cleaner 260 kaijo KRF-300 Carrier/Pod Cleaner 261 kaijo KRF-300 Carrier/Pod Cleaner 262 kaijo KRF-300 Carrier/Pod Cleaner 263 kaijo KRF-300 Carrier/Pod Cleaner 264 Kaijo Corporation SFT-305e Batch Wafer Processing 265 Kaijo Corporation SFT-305 Batch Wafer Processing 266 Kaijo Corporation SFT-300 Batch Wafer Processing 267 Kashiyama Ind., Ltd. MU100XU Dry Pump 268 Kashiyama Ind., Ltd. MU100XU Dry Pump 269 Kashiyama Ind., Ltd. MU100XU Dry Pump 270 Kashiyama Ind., Ltd. NeoDry36C Dry Pump 271 KLA-Tencor Corp. KLA-TENCOR DUAL SMIF HANDLER Parts/Options 272 KLA-Tencor Corp. KLA-TENCOR DUAL SMIF HANDLER Parts/Options 273 KLA-Tencor Corp. Puma 9650 Darkfield Inspection Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 6 of 13 Appreciate your time! sales@semistarcorp.com
  • 7. 274 KLA-Tencor Corp. Puma 9120 Darkfield Inspection 275 KLA-Tencor Corp. eS20XP E-beam Inspection 276 KLA-Tencor Corp. eS32 E-beam Inspection 277 KLA-Tencor Corp. eS32 E-beam Inspection 278 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System 279 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System 280 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System 281 KLA-Tencor Corp. ASET-F5x Film Thickness Measurement System 282 KLA-Tencor Corp. Archer 300 Overlay Measurement System 283 KLA-Tencor Corp. Archer 300 Overlay Measurement System 284 KLA-Tencor Corp. Archer 300 Overlay Measurement System 285 KLA-Tencor Corp. Archer XT+ Overlay Measurement System 286 KLA-Tencor Corp. Archer XT+ Overlay Measurement System 287 KLA-Tencor Corp. AIT UV Darkfield Inspection 288 KLA-Tencor Corp. AIT UV Darkfield Inspection 289 KLA-Tencor Corp. Archer 300 Overlay Measurement System 290 KLA-Tencor Corp. KLA-TENCOR DUAL OPEN HANDLER Parts/Options 291 KLA-Tencor Corp. SpectraCD 100 Film Thickness Measurement System 292 KLA-Tencor Corp. Puma 9130 Darkfield Inspection 293 KLA-Tencor Corp. Puma 9130 Darkfield Inspection 294 KLA-Tencor Corp. Puma 9120 Darkfield Inspection 295 Kokusai Electric Co., Ltd. Quixace II Doped Poly Vertical LPCVD Furnace 296 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace 297 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace 298 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace 299 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace 300 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace 301 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace 302 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace 303 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace 304 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace 305 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace 306 Kokusai Electric Co., Ltd. Quixace Nitride Vertical LPCVD Furnace 307 Kokusai Electric Co., Ltd. Quixace II ALD High-k Vertical LPCVD Furnace 308 Kokusai Electric Co., Ltd. Quixace II ALD High-k Vertical LPCVD Furnace 309 Kokusai Electric Co., Ltd. Quixace II ALD High-k Vertical LPCVD Furnace 310 Kokusai Electric Co., Ltd. Quixace II ALD High-k Vertical LPCVD Furnace 311 Kokusai Electric Co., Ltd. Quixace II Vertical Diffusion Furnace 312 Kokusai Electric Co., Ltd. Quixace II Poly Vertical LPCVD Furnace 313 Kokusai Electric Co., Ltd. Quixace II Doped Poly Vertical LPCVD Furnace 314 Kokusai Electric Co., Ltd. Quixace II Doped Poly Vertical LPCVD Furnace 315 Kokusai Electric Co., Ltd. Quixace II Doped Poly Vertical LPCVD Furnace 316 Kokusai Electric Co., Ltd. Quixace II Nitride Vertical LPCVD Furnace 317 Kokusai Electric Co., Ltd. Quixace Nitride Vertical LPCVD Furnace 318 Kulicke & Soffa Ind. Inc. (ADT) 7500 Wafer Dicing Saw 319 Kulicke & Soffa Ind. Inc. (ADT) 7500 Wafer Dicing Saw 320 Kulicke & Soffa Ind. Inc. (ADT) 7500 Wafer Dicing Saw 321 Kulicke & Soffa Ind. Inc. (ADT) 7500 Wafer Dicing Saw Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 7 of 13 Appreciate your time! sales@semistarcorp.com
  • 8. 322 Kulicke & Soffa Ind. Inc. (ADT) 7500 Wafer Dicing Saw 323 Kulicke & Soffa Ind. Inc. (KnS) AT Premier PLUS Wire Bonder 324 LAM Research 2300e5 KIYO 45 Polysilicon Etch 325 LAM Research 2300e5 KIYO 45 Polysilicon Etch 326 LAM Research 2300e5 KIYO 45 Polysilicon Etch 327 LAM Research 2300e5 KIYO 45 Polysilicon Etch 328 LAM Research 2300e5 KIYO 45 Polysilicon Etch 329 LAM Research 2300e5 KIYO 45 Polysilicon Etch 330 LAM Research 2300e5 KIYO 45 Polysilicon Etch 331 LAM Research 2300 Exelan Flex EX Dielectric Etch 332 LAM Research 2300 Exelan Flex 45 Dielectric Etch 333 LAM Research 2300 Exelan Flex EX Dielectric Etch 334 LAM Research 2300 SELIS - Chamber Only Dielectric Etch 335 LAM Research 2300 Exelan Flex 45 Dielectric Etch 336 LAM Research 2300 Exelan Flex 45 Dielectric Etch 337 LAM Research 2300 Exelan Flex EX+ Dielectric Etch 338 LAM Research 2300 Exelan Flex EX Dielectric Etch 339 LAM Research 2300 Exelan Flex EX Dielectric Etch 340 LAM Research 2300 Exelan Flex EX+ Dielectric Etch 341 LAM Research 2300 Exelan Flex - Chamber Only Dielectric Etch 342 LAM Research 2300 Exelan Flex - Chamber Only Dielectric Etch 343 LAM Research 2300 Exelan Flex - Chamber Only Dielectric Etch 344 LAM Research 2300 Exelan Flex EX+ Dielectric Etch 345 LAM Research 2300 Exelan Flex EX+ Dielectric Etch 346 LAM Research 2300 KIYO 45 Multi-Process Etch 347 LAM Research 2300 KIYO MCX Metal Etch 348 LAM Research 2300e4 KIYO MCX Metal Etch 349 LAM Research 2300 Exelan Flex - Chamber Only Dielectric Etch 350 LAM Research 2300 Exelan Flex EX+ Dielectric Etch 351 LAM Research 2300 Exelan Flex EX+ Dielectric Etch 352 LAM Research 2300 Exelan Flex 45 Dielectric Etch 353 LAM Research 2300 Exelan Flex EX Dielectric Etch 354 LAM Research 2300 Exelan - TM Only Dielectric Etch 355 Leica Inc. INS10 Microscope 356 Leica Inc. INM20 Microscope 357 Leica Inc. LDS3300C Macro-Defect 358 Leica Inc. INS3300 Macro-Defect 359 Matheson Tri-Gas, Inc. SEMI-GAS Chemical/Gas Storage & Delivery 360 Mattson Technology, Inc. Aspen III ICPHT Stripper/Asher 361 miscellaneous furniture Office Tables and Chairs Office 362 Mitsubishi DWC-90 Wire EDM (Electrical Discharge Machine) 363 MPI Corporation LEDA P6801 LED Die Prober 364 Muratec Murata Machinery, SRC330 Wafer Stocker 365 Nanometrics Inc. Atlas II+ Critical Dimension (CD) Measurement (non SEM) Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 8 of 13 Appreciate your time! sales@semistarcorp.com
  • 9. 366 Nanometrics Inc. Atlas II+ Critical Dimension (CD) Measurement (non SEM) 367 Nanometrics Inc. Atlas Film Thickness Measurement System 368 Nanometrics Inc. Atlas II+ Critical Dimension (CD) Measurement (non SEM) 369 Nextest Systems Corporation Maverick I PT Design Verification Tester 370 Nextest Systems Corporation Maverick I PT Design Verification Tester 371 Nikon AMI-3500 Macro-Defect 372 Nikon AMI-3300 Macro-Defect 373 Nikon AMI-3300 Macro-Defect 374 Nikon OPTIPHOT 200 Microscope 375 Nikon AMI-3000 Macro-Defect 376 Nikon AMI-3000 Macro-Defect 377 Nikon AMI-3500 Macro-Defect 378 Nikon NSR-S308F 193nm (ArF) Scanner 379 Nikon OPTISTATION V Optical Review System 380 Nikon OPTISTATION V Optical Review System 381 Nikon OPTISTATION 3100 Optical Review System 382 Nikon OPTISTATION 3100 Optical Review System 383 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter 384 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter 385 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter 386 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter 387 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter 388 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter 389 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter 390 Nissin Electric Co., Ltd. EXCEED 3000AH Mid Current Implanter 391 Nissin Electric Co., Ltd. EXCEED 2300 Mid Current Implanter 392 Nissin Electric Co., Ltd. EXCEED 2300 Mid Current Implanter 393 Nissin Electric Co., Ltd. Exceed 2300AV Mid Current Implanter 394 Nissin Electric Co., Ltd. Exceed 2300AV Mid Current Implanter 395 Nissin Electric Co., Ltd. Exceed 2300AV Mid Current Implanter 396 Novellus Systems Inc. VECTOR Express PECVD (Chemical Vapor Deposition) 397 Novellus Systems Inc. VECTOR Express PECVD (Chemical Vapor Deposition) 398 Novellus Systems Inc. Concept Three Altus Inflection FFWA - Chamber WCVD (Chemical Vapor Deposition) 399 Novellus Systems Inc. VECTOR Express PECVD (Chemical Vapor Deposition) 400 Novellus Systems Inc. Concept Three Altus Max EFX WCVD (Chemical Vapor Deposition) 401 Novellus Systems Inc. GAMMA 2130 Stripper/Asher 402 Novellus Systems Inc. GAMMA Express Stripper/Asher 403 Novellus Systems Inc. GAMMA Express Stripper/Asher 404 Novellus Systems Inc. GAMMA Express Stripper/Asher 405 Novellus Systems Inc. Concept Three Altus Max WCVD (Chemical Vapor Deposition) 406 Novellus Systems Inc. VECTOR SOLA UV Cure PECVD (Chemical Vapor Deposition) 407 Oxford Instruments Plasmalab 80 Plus Multi-Process Etch 408 Oxford Instruments X-Strata980 X-ray Fluorescence Spectrometer 409 P.S.K. Tech Inc. Supra IV Stripper/Asher 410 P.S.K. Tech Inc. Tigma N Stripper/Asher 411 Plasma System Corp. DES-220 Stripper/Asher Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 9 of 13 Appreciate your time! sales@semistarcorp.com
  • 10. 412 Plasma System Corp. SA-2000 Stripper/Asher 413 Plasma-Therm I.P. Inc. 790 Etch Multi-Process Etch 414 Rasco SO3000 Gravity Feed SOC Handler 415 Rasco SO3000 Gravity Feed SOC Handler 416 RECIF Technologies SPP300 Wafer Sorter 417 RECIF Technologies SRT300 Wafer Sorter 418 RECIF Technologies SPP300 Wafer Sorter 419 RECIF Technologies SPP300 Wafer Sorter 420 RECIF Technologies SIS300 Wafer Sorter 421 ReVera RVX1000 Film Thickness Measurement System 422 ReVera RVX1000 Film Thickness Measurement System 423 ReVera RVX1000 Film Thickness Measurement System 424 Rigaku MFM310 X-ray Reflectivity (XRR) 425 Rudolph Technologies, Inc. Axi 930 Macro-Defect 426 Rudolph Technologies, Inc. Axi 930 Macro-Defect 427 Rudolph Technologies, Inc. Axi 935 Macro-Defect 428 Rudolph Technologies, Inc. ultra-II Ellipsometer 429 Rudolph Technologies, Inc. S3000A Ellipsometer 430 Rudolph Technologies, Inc. S3000A Ellipsometer 431 Rudolph Technologies, Inc. S3000A Ellipsometer 432 S.E.S. CO., LTD. BW3000X Batch Wafer Processing 433 S.E.S. CO., LTD. BW3000X Batch Wafer Processing 434 Semitool Inc. Raider SP310 Single Wafer Processing 435 SEZ Group SP323 Single Wafer Processing 436 Shibaura Engineering Works Ltd. CDE-300 Metal Etch 437 Sokudo Co., Ltd. RF-300A Multi Block (Resist Coater/Developer) 438 SRM INTEGRATION (M) Sdn. XD248 Test Handler 439 SRM INTEGRATION (M) Sdn. XD248 Test Handler 440 Standard Research Systems SR560 — Low-noise voltage preamplifier Parts/Peripherals 441 Sumitomo Eaton Nova (SEN) NV-GSD-HE3 High Energy Implanter 442 Sumitomo Eaton Nova (SEN) NV-GSD-HE3 High Energy Implanter 443 Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter 444 Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter 445 Sun Yang Tech Sdn Bhd AFR-01 Strip Sorter 446 Tecdia Inc. TEC-1228AL Wafer Breaker 447 Teikoku Taping System Co., Ltd. DXL2-800HS-BL-CE Tape Laminator 448 Tescan FERA3 XMH FE SEM 449 Tokyo Electron Limited. C355 Chiller for Cold Temp Prober 450 Tokyo Electron Limited. C355 Chiller for Cold Temp Prober 451 Tokyo Electron Ltd. Telius 305 SCCM Dielectric Etch 452 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 453 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 454 Tokyo Electron Ltd. Triase+ Ti/TiN Metal CVD (Chemical Vapor Deposition) 455 Tokyo Electron Ltd. TELINDY Plus ALD High-K Vertical Furnace - Other 456 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 457 Tokyo Electron Ltd. TELFORMULA Anneal Vertical Anneal Furnace 458 Tokyo Electron Ltd. TELFORMULA Anneal Vertical Anneal Furnace 459 Tokyo Electron Ltd. TELFORMULA Anneal Vertical Anneal Furnace Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 10 of 13 Appreciate your time! sales@semistarcorp.com
  • 11. 460 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 461 Tokyo Electron Ltd. TELINDY Plus ALD High-K Vertical Furnace - Other 462 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 463 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 464 Tokyo Electron Ltd. Tactras Vigus Dielectric Etch 465 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 466 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 467 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 468 Tokyo Electron Ltd. TELINDY Oxide Vertical LPCVD Furnace 469 Tokyo Electron Ltd. Cellesta+ Single Wafer Processing 470 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 471 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 472 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 473 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 474 Tokyo Electron Ltd. Expedius Batch Wafer Processing 475 Tokyo Electron Ltd. Telius SP-305 SCCM Dielectric Etch 476 Tokyo Electron Ltd. Telius SP-305 SCCM Dielectric Etch 477 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 478 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 479 Tokyo Electron Ltd. CLEAN TRACK LITHIUS i+ Multi Block (Resist Coater/Developer) 480 Tokyo Electron Ltd. Tactras Vigus Dielectric Etch 481 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace 482 Tokyo Electron Ltd. UW200Z Batch Wafer Processing 483 Tokyo Electron Ltd. Tactras RLSA Poly Polysilicon Etch 484 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 485 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace 486 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace 487 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 488 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 489 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 490 Tokyo Electron Ltd. NS 300 Wafer Scrubber 491 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 492 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 493 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 494 Tokyo Electron Ltd. TELINDY Plus IRAD Oxide Vertical LPCVD Furnace 495 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 496 Tokyo Electron Ltd. TELINDY Oxide Vertical LPCVD Furnace 497 Tokyo Electron Ltd. Telius 305 DRM Dielectric Etch 498 Tokyo Electron Ltd. Tactras Vigus RK3 - Chamber Only Dielectric Etch 499 Tokyo Electron Ltd. CLEAN TRACK ACT 8 Single Block (Coat/Develop) 500 Tokyo Electron Ltd. CLEAN TRACK ACT 8 Multi Block (Resist Coater/Developer) 501 Tokyo Electron Ltd. Tactras Vigus RK3 - Chamber Only Dielectric Etch 502 Tokyo Electron Ltd. UW300Z Batch Wafer Processing 503 Tokyo Electron Ltd. Trias W - Chamber Only Metal CVD (Chemical Vapor Deposition) 504 Tokyo Electron Ltd. TELFORMULA Vertical Diffusion Furnace 505 Tokyo Electron Ltd. TELFORMULA Vertical Diffusion Furnace 506 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 11 of 13 Appreciate your time! sales@semistarcorp.com
  • 12. 507 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 508 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 509 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 510 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 511 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 512 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 513 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 514 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 515 Tokyo Electron Ltd. Trias W MOCVD 516 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace 517 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace 518 Tokyo Electron Ltd. Telius 305 DRM Dielectric Etch 519 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 520 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace 521 Tokyo Electron Ltd. Trias Chamber Parts/Peripherals 522 Tokyo Electron Ltd. Trias Chamber Parts/Peripherals 523 Tokyo Electron Ltd. Trias Chamber Parts/Peripherals 524 Tokyo Electron Ltd. Trias Chamber Parts/Peripherals 525 Tokyo Electron Ltd. ALPHA-303i Anneal Vertical Anneal Furnace 526 Tokyo Electron Ltd. ALPHA-303i Anneal Vertical Anneal Furnace 527 Tokyo Electron Ltd. ALPHA-303i Anneal Vertical Anneal Furnace 528 Tokyo Electron Ltd. Telius 305 DRM Dielectric Etch 529 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 530 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 531 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 532 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 533 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 534 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 535 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 536 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 537 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 538 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 539 Tokyo Electron Ltd. CLEAN TRACK LITHIUS Multi Block (Resist Coater/Developer) 540 Tokyo Electron Ltd. Trias W MOCVD 541 Tokyo Electron Ltd. Triase+ SPA Metal CVD (Chemical Vapor Deposition) 542 Tokyo Electron Ltd. CLEAN TRACK ACT 12 Single Block (Resist Coater/Developer) 543 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 544 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 545 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 546 Tokyo Electron Ltd. Trias Ti/TiN Chamber Parts/Peripherals 547 Tokyo Electron Ltd. Trias Ti/TiN Chamber Parts/Peripherals 548 Tokyo Electron Ltd. Trias Ti/TiN Chamber Parts/Peripherals 549 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 550 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 551 Tokyo Electron Ltd. TELFORMULA ALD High-K Vertical LPCVD Furnace 552 Tokyo Electron Ltd. TELINDY Plus ALD High-K Vertical Furnace - Other 553 Tokyo Electron Ltd. NEXX Apollo Sputtering System 554 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 555 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 12 of 13 Appreciate your time! sales@semistarcorp.com
  • 13. 556 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 557 Tokyo Electron Ltd. Trias Ti/TiN Chamber Parts/Peripherals 558 Tokyo Electron Ltd. TELINDY Plus ALD High-K Vertical Furnace - Other 559 Tokyo Electron Ltd. TELINDY Plus ALD High-K Vertical Furnace - Other 560 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 561 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 562 Tokyo Electron Ltd. ALPHA-303i Vertical Diffusion Furnace 563 Tokyo Electron Ltd. TELFORMULA Nitride Vertical LPCVD Furnace 564 Tokyo Electron Ltd. Trias Ti/TiN Metal CVD (Chemical Vapor Deposition) 565 Tokyo Electron Ltd. ALPHA-303i Nitride Vertical Nitride Furnace 566 Tokyo Electron Ltd. Telius SP 305 DRM Dielectric Etch 567 Tokyo Electron Ltd. (TEL) P-12XLn Production Wafer Prober 568 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 569 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 570 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 571 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 572 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 573 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 574 Tokyo Electron Ltd. (TEL) Precio Production Wafer Prober 575 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober 576 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober 577 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober 578 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober 579 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober 580 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober 581 Tokyo Electron Ltd. (TEL) P-12XL Production Wafer Prober 582 Tokyo Ohka Kogyo Co., Ltd. TWM8233 Fully-Automated Wafer Bonder 583 Toyota Industries Corporation T100L Dry Pump 584 Toyota Industries Corporation T-1000 Dry Pump 585 Toyota Industries Corporation T-1000 Dry Pump 586 Toyota Industries Corporation T100L Dry Pump 587 Toyota Industries Corporation T100L Dry Pump 588 Toyota Industries Corporation T100L Dry Pump 589 Toyota Industries Corporation T100L Dry Pump 590 Varian Semiconductor Equipment Associates VIISta PLAD High Dose Implant 591 Varian Semiconductor Equipment Associates VIISta 3000XP High Energy Implanter 592 Veeco Instruments Inc. Dimension 7000 Atomic Force Microscope (AFM) 593 Veeco Instruments Inc. Dimension X1D Atomic Force Microscope (AFM) 594 Veeco Instruments Inc. Dimension X1D Atomic Force Microscope (AFM) 595 Veeco Instruments Inc. Dimension Vx 340 Atomic Force Profiler (AFP) 596 Veeco Instruments Inc. Dimension X3D Atomic Force Microscope (AFM) 597 Verigy (Agilent) V4400 Memory Tester 598 Verigy (Agilent) V4400 Memory Tester 599 Verigy (Agilent) V4400 Memory Tester 600 Verigy (Agilent) V4400 Memory Tester Please email us for more info/ the availability of these equipment. These are subject to prior sale. ID-5319-0-4 13 of 13 Appreciate your time! sales@semistarcorp.com