SlideShare a Scribd company logo
1 of 12
Download to read offline
No. OEM Model
1 ABB Engineering IRB120
2 Accent Optical Caliper Elan
3 Accent Optical Caliper Elan
4 Accent Optical Caliper Elan
5 Accent Optical Caliper Elan
6 Accent Optical Caliper Elan
7 Accent Optical Caliper Elan
8 Accent Optical Caliper Q300
9 Accent Optical Caliper Q300
10 Accretech UF3000X
11 Accretech UF3000EX
12 Accretech UF3000EX
13 Accretech Win-Win 50 - A5000, Hurricane
14 Accretech Win-Win 50 - A5000, Hurricane
15 Accretech Win-Win 50 - A5000, Hurricane
16 Accretech Win-Win 50
17 Accretech Win-Win 50
18 Accretech Win-Win 50
19 ADE EpiScan
20 Adixen APR4300
21 Adixen APR4300
22 Advantest E3630
23 Advantest HP83000
24 Advantest HP83000
25 Advantest HP83000
26 Advantest T5771
27 Agilent 7500cs-C
28 Agilent 81110A
29 Agilent Tech 8510
30 Agilent Tech V3300
31 Akrion MP-2000
32 Akrion 300mm Solvent Sink
33 Akrion MP-2000
34 Akrion MP-2000
35 Akrion MP-2000
36 Akrion MP-2000
37 Akrion UP V2 MP.2000
38 Alcatel ASM 180TD
39 AMAT Centura AP, AdvantEdge G5
40 AMAT Centura
41 AMAT Ultima X
42 AMAT Uvision 200
43 Ancosys P13010 Ancolyzer
44 Applied Komatsu Tech 1600
45 Applied Materials Endura2 CVD Co Chamber, VOLTA
46 Applied Materials Producer GT Chamber
47 Applied Materials NanoSEM 3D
48 Applied Materials NanoSEM 3D
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 1 of 12 Subject to prior sale without notice.
49 Applied Materials NanoSEM 3D
50 Applied Materials NanoSEM 3D
51 Applied Materials Centura Enabler
52 Applied Materials Centura Enabler
53 Applied Materials Centura Enabler
54 Applied Materials Enabler, Centura, 4 Chamber Etch
55 Applied Materials Centura AP
56 Applied Materials Centura Etch
57 Applied Materials Centura Etch, DPS Minos
58 Applied Materials Centura DPS
59 Applied Materials Dfinder2
60 Applied Materials Dfinder2
61 Applied Materials Elite MS MC
62 Applied Materials Ultima X
63 Applied Materials Olympia ALS
64 Applied Materials Producer GT Chambers
65 Applied Materials Producer GT
66 Applied Materials Producer GT Frontier
67 Applied Materials Producer GT Frontier
68 Applied Materials Producer GT Frontier
69 Applied Materials Producer SE Chambers
70 Applied Materials Producer SE
71 Applied Materials Producer SE UV Cure
72 Applied Materials Producer SE
73 Applied Materials Producer SE
74 Applied Materials Producer SE
75 Applied Materials Producer SE
76 Applied Materials Producer SE EFEM & LL Only
77 Applied Materials SemVision CX
78 Applied Materials Ultima X
79 Applied Materials Ultima X
80 Applied Materials Uvision 5
81 Applied Materials Vantage
82 Applied Materials Vantage Vulcan
83 Applied Materials Vantage Vulcan
84 Applied Materials NanoSEM 3D
85 Applied Materials NanoSEM 3D
86 Applied Materials Producer GT Chamber
87 Applied Materials In Centura Radiance RTP Chamber
88 Applied Materials In Dfinder2
89 Applied Materials In Dfinder2
90 Applied Materials In Endura2 Chamber CVD ALD TiN
91 Applied Materials In Endura2 Ti Chambers ONLY
92 Applied Materials In Endura2
93 Applied Materials In Endura2 Chambers
94 Applied Materials In UltimaX
95 Applied Materials In Raider ECD
96 Applied Materials In Raider ECD
97 Applied Materials In Raider ECD 310
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 2 of 12 Subject to prior sale without notice.
98
Applied Materials, ELITE M5 MC, 300mm, eBeam
Inspection
99 ASM A412 Dual Reactor
100 ASM A412 Dual Reactor
101 ASM A412
102 ASM E3200 RP
103 ASM E3200 RP
104 ASM Eagle XP8
105 ASM Eagle XP8
106 ASML AT : 1100B
107 ASML XT:1250D
108 ASML NXT:1950Ai
109 ASML XT:1250
110 ASML XT: 1250B
111 Asymtek S-800
112 Asyst Technologies RMS 2150 INX/S
113 Asyst Technologies Versaport 200mm
114 Autoclean ISG-2000
115 Axcelis Technologies Compact II
116 Axcelis Technologies Optima HDxT
117 Axcelis Technologies HC3
118 Axcelis Technologies Summit RTP
119 Axcelis Technologies Summit
120 AXIDEN APR4300
121 AXIDEN APR 4300 Pod Regenerator
122 Biorad Caliper Q300
123 Blue M DCC 206CY
124 Blue M DDC 206CY Oven
125 Blue M DCC-1406CY
126 Blue M DDC-206CY
127 Blue M DCC-206-EV-ST350
128 Blue M RG-3010F-2
129 Blue M RG-3010F-2
130 Branson 5210DTH
131 Brewer Science 300XD
132 Bridgeport Series I 2 HP
133 Brooks M1900
134 Bruker, AFM, 300mm, InSight 3D-DR
135 Bruker, D8 Discover, 300mm, X-Ray Metrology
136 Bruker, D8FABLINE, 300mm, X-Ray Metrology
137 Bruker, D8FABLINE, 300mm, X-Ray Metrology
138 BTU Engineering TCAS 181-7-72-E-36
139 Cameca LEXFAB300
140 Camtek X-ACT
141 Canon Anelva C-7100GT
142 Carl Zeiss AIMS 32-193i
143 Carl Zeiss MeRit HR32 Plus
144 Cascade Microtech 11000
145 Cascade Microtech 12000
146 Cascade Microtech 12000
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 3 of 12 Subject to prior sale without notice.
147 Cascade Microtech 12000
148 Check Point Technolo InfraScanTDM 300 TDE
149 Chemical Safety Tech Dual Drum Waste Cabinet
150 Chiron XACT830A
151 Ci Science Torus 300K
152 Commonwealth Sci 12000 Prober
153 Control Air D-9-L-SM-UM-MOD 184
154 Cymer EX-5700
155 Cymer EX-5700
156 Dainippon Screen FC-3000
157 Dainippon Screen Expedius
158 Dainippon Screen FC-3000
159 Dainippon Screen FC-3000
160 Dainippon Screen FC-3100
161 Dainippon Screen SK-80BW-BVP
162 Dainippon Screen SK-80BW-BVP
163 Dainippon Screen SK-80BW-BVP
164 Dainippon Screen SU-3100
165 Dainippon Screen SU-3100
166 Dainippon Screen SU-3100
167 Dainippon Screen SU-3100
168 Dainippon Screen SU-3100
169 Dainippon Screen SU-3100
170 Dainippon Screen SU-3100
171 Dainippon Screen SU-3100
172 Dainippon Screen SU-3200
173 Datacon 2200 APM+
174 Dektak, V200SI, Surface Profilometer
175 Denton Infinity 18
176 Despatch PWB-48X38X64-3E
177 Despatch PWB-48X38X64-3E
178 Despatch PWB-48X38X64-3E
179 Disco DFG-82IF/8
180 DMS Tornado 200
181 E.A. Fischione Instr 1030
182 E.A. Fischione Instr 1060
183 E.A. Fischione Instr 2040
184 Ebara EPO113
185 Ebara EST 100 WN
186 Ebara EST 200 WN
187 Ebara EST 300
188 Ebara FREX 300 S
189 Ebara FREX 300 S
190 Ebara F-REX300S
191 Ebara FREX 300 S
192
Ebara, F-REX300S, 300mm, CMP, Chemical Mechanical
Polish
193 Electroglas Unknown
194 Electroglas 2001X
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 4 of 12 Subject to prior sale without notice.
195 Electroglas 2001X
196 Electroglas 2001X
197 Electroglas 2001X
198 Electroglas 2001X
199 Electroglas 4090
200 Electroglas 4090u
201 Electroglas 4090u
202 Electroglas 4090u
203 Empire Cleaning PF-2632 M-02522
204 ENTEGRIS 01-026052-13
205 ENTEGRIS LPDF40SS4
206 ENTEGRIS RSP200-2106W04-2
207 Esco EHWS 8C
208 Estion E-RETICLE V 4M
209 Etec Systems MEBES 4500
210 Etec Systems MEBES 5000
211 Extraction Systems TMB - RTM
212 Feedmatic Vacuum Sealer
213 FEI PHILIPS XL30S, SEM
214 FEI 835
215 FEI CLM 3D
216 FEI CLM
217 FEI DA300
218 FEI Ex-Situ Plucker
219 FEI TEMLINK - KY02, 14771-003
220 FEI ExSolve 2 WTP EFEM
221 FEI FIB 200
222 FEI Meridian-IV
223 FEI Micrion 2500
224 FEI Micrion 2500
225 FEI Micrion 9000
226 FEI Strata FIB 205
227 FEI TEM LINK
228 FEI TEMLINK 14771-003
229 FEI Technia G2 F20 TEM
230 FEI V600
231 Fisher Sci FD400 Binder Oven
232 Fisher Sci FD400
233 Fisher Sci FD 720 Binder Precision Oven
234 Fisher Sci FED720
235 Fisher Sci FED 720
236 Frontier Semi 128L-C2C
237 FSI ORION
238 FSI Orion
239 FSI Scorpio
240 GEMETEC Elymat III
241 GEMETEC WSPS53
242 GMW 5403 Electromagnet
243 GTX Marketing Wet Bench
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 5 of 12 Subject to prior sale without notice.
244 Hewlett Packard HP 83000 Tester
245 Hewlett Packard 83000 Tester
246 Hewlett Packard 83000 Tester
247 Hitachi HF-2000
248 Hitachi M-8190XT
249 Hitachi Microanalysis System
250 Hitachi AS5000
251 Hitachi S-4700
252 Hitachi S-5200
253 Hitachi S-5200
254 Hitachi S-9380
255 Hitachi S-9380
256 Hitachi S-9380
257 Hitachi S-9380
258 Hitachi 9380
259 Hitachi S-9380
260 Hitachi S-9380
261 Hitachi S-9380
262 Hitachi S-9380
263 Hitachi S-9380 II
264 Hitachi S-9380
265 Hitachi S-9380II
266 Hitachi Z-5700
267 HMI, EP3, 300mm, E-Beam Inspection System
268 HMI, eP4 320, 300mm, ebeam Inspection
269 HMI, eScan 320, 300mm, ebeam Inspection
270 HMI, eScan 500, 300mm, eBeam Inspection Tool
271 Hologenix MTX 2000/2/MIS SLIPBAY
272 HSEB Axiospect 300
273 HSEB Axiospect300
274 HSEB Axiospect 301
275 HSEB Axiospect 301
276 Hugle UPC-12100
277 Hypersonic Chipheraser 1630
278 IMS XTS-FT
279 IPG Micro IX-280 ML
280 ITS Single Mix Tank
281 Jackson Automation EXHAUST BOX
282 Jackson Automation Exhaust Cabinet
283 Jackson Automation Sink
284 Jackson Automation Storage Box
285 JEOL 7555
286 JEOL JEM-2500SE
287 JEOL JEM-2500SE
288 JEOL JWS 7555S
289 JEOL JWS-7515
290 JEOL ARM200CF Super X
291 Jordan Valley JVX7300
292 Jordan Valley JVX7300
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 6 of 12 Subject to prior sale without notice.
293 Jordan Valley JVX-6200i
294 Jordan Valley JVX6200i
295 Jordan Valley JVX6200i
296 Kashiyama SDE1203B
297 Kashiyama SDE90
298 Keithley Instruments 4200
299 KEITHLEY Insturments, 590 C-V Analyzer, 300mm
300 Kinetic Systems 9101-21-21
301 KINIK BI2
302 KLA-Tencor Uvision 5
303 KLA-Tencor Viper 2435
304 KLA-Tencor Viper 2438
305 KLA-Tencor SpectraCD - XT
306 KLA-Tencor CRS1010 Review Station
307 KLA-Tencor 3905
308 KLA-Tencor 3905
309 KLA-Tencor 5100
310 KLA-Tencor 5200
311 KLA-Tencor 5200
312 KLA-Tencor Archer AIM
313 KLA-Tencor Archer AIM
314 KLA-Tencor Archer AIM
315 KLA-Tencor P2 Data Prep Station,
316 KLA-Tencor ES31
317 KLA-Tencor ES32
318 KLA-Tencor LMS IPRO 7 HT
319 KLA-Tencor P-11
320 KLA-Tencor TeraScan SL516-T5
321 KLA-Tencor SLF576, TeraScan
322 Kokusai Quixace Ultimate
323 Kokusai DJ-1236VN-DF
324 Kokusai DJ-1236VN-DF
325 Kokusai DD-1206V-DF
326 Kokusai DJ-1236VN-DF
327 Kokusai DD-1206-DF
328 Kokusai
329 Kokusai DD-1206-DF
330 Kokusai DD-1223VN
331 Kokusai DJ-1206VN-DM,
332 Kokusai DJ-1206VN-DM
333 Kokusai DJ-1206VN-DM
334 Kokusai Quixace
335 Kokusai Quixace
336 Kurita Water Systems Nitrogen Regas System
337 Kyoto Denkiki KDP-2T030
338 LAM 4600
339 LAM 4600B
340 LAM 2300 Versys STAR-T
341 LAM 2300 Versys Star-T Chambers ONLY
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 7 of 12 Subject to prior sale without notice.
342 LAM Research Corp. 2300 ELD
343 LAM Research Corp. 2300 ELD
344 LAM Research Corp. 4600B
345 Lasertech BI 100
346 Lasertech Reticle Inspection Tool
347 Leica INS3300
348 Leica POLYLITE 88 METALLURGICAL SCOPE
349 Lyncee Holographic Microscope
350 M&W Products Humidifier Module
351 Matsushita Seiki M515-III
352 Matsushita Seiki M515
353 Matsushita Seiki M777
354 Matsushita Seiki M777
355 Mattson Technology Helios
356 Mattson Technology MILLIOS
357 Mega Kinetics Megapure 6001 HC
358 Mega Kinetics Megapure 6001 HC
359 Met One 3313
360 Metron Extraction, Amine
361 Micro Control Co. Abes IV
362 Micro Control Co. Abes Memory PreScreener
363 Micro Control Co. Abes Memory Test Tool
364 Micro Control Co. ABES-V Burn-in tool (hot/cold capability)
365 Micro Control Co. ABES-V
366 Micro Control Co. ABES-V
367 Micro Control Co. ABES-V
368 Micro Control Co. WRP256 Oven
369 Micro Control Co. WRP64
370 Micro Control Co. Abes Memory PreScreener
371 Micro Control Co. WRP256 PreScreen Tool
372 Micro Control Co. WRP256 Oven
373 Micro Lithography 7002
374 Micromanipulator 9000-VIT
375 MKS Instruments AX8559 ASTeX Generator
376 MKS Instruments Liquozone PrimO3
377 Mosaid MS4205
378 Mosaid MS 4205
379 Mosaid MS4205
380 Mosaid MS4205
381 Mosaid MS4205ex
382 MULTIPROBE MP1, Atomic Force Prober (AFP), 300mm
383 Nanometrics ATLAS - 1000-00910
384 Nanometrics Q200I
385 Nanometrics Q200I
386 NCB Network NF200
387 Negevtech Ltd. NT3100
388 Nicolet ECO-1000
389 Novellus Systems C3 Altus Chamber
390 Novellus Systems C3 Altus Chambers
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 8 of 12 Subject to prior sale without notice.
391 Novellus Systems Inova NEXT
392 Novellus Systems Inova
393 Novellus Systems Inova PVD
394 Novellus Systems Inova PVD
395 Novellus Systems Inova NEXT
396 Novellus Systems Inova
397 Novellus Systems Inova PVD
398 Novellus Systems Inova xT
399 Novellus Systems Sabre NeXT
400 Novellus Systems Vector Express
401 Novellus Systems Vector Express
402 Novellus Systems Vector RPC
403 Novellus, Inova, PVD, 200mm, Sputter Dep, Cu Seed
404 NSC PS102W
405 Nuaire Fumeguard 156
406 Nuaire Fumeguard 156
407 NuFlare Technology I EBM-9500
408 Olympus PMG3
409 Oryx EX11000S M65X
410 Oryx EX11000S M65X
411 Packaging Systems Entry Conveyor
412 Pan Abrasives KS9090WB/SS4
413 Particle Meas Sys LASAIR-110
414 Perkin Elmer AANALYST 600
415 Pfeiffer Vacuum APR4300
416 Phoenix Micromex SE 160T
417 Pillar UNIBLOCK CLASSIC 500 KVA UPS
418 Piller UBT+, 1500
419 PREMTEK Internationa 9920
420 Prometrix RS50/e
421 PSK Tera 21
422 PSK Tera 21
423 PSK Tera 21
424 PSK Tera 21
425 PSK Tera 21
426 PSK Tera 21
427 PSS AccuSizer APS 780
428 QUALITAU EM Module system
429 Quantum Focus Instru Laser Signal Injection Microscope
430 Quintel 7000
431 Raytek RXW-1226SFI
432 Revco ULT 2140-5- D30 -40C
433 Revera RVX5000
434 Rigaku 3630
435 Rigaku 3650
436 Rigaku 3750, TXRF
437 Rigaku MFM65 Micro XRF
438 Rigaku V310 - TXRF
439 Rigaku 3272
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 9 of 12 Subject to prior sale without notice.
440 Rion KS-40AF
441 Rorze RV201-F05-202CE-1
442 Rorze RV201-F05-202CE-1
443 Rorze B2-BL-R
444 Rorze B4
445 Rorze B4
446 Rorze RSR160
447 Royal Sovereign RSL-2702
448 Rudolph Research PCI
449 Rudolph Technologies S300
450 Rudolph Technologies S-300
451 Rudolph Technologies Macro Defect WV320 YVS SERVER
452 Rudolph Technologies Waferview 320
453 Rudolph Technologies Waferview 320
454 Rudolph Technologies Waferview 320
455 Rudolph Technologies WV320
456 Rudolph Technologies Waferview 320
457 Rudolph Technologies Waferview 320
458 Rudolph, WV320 Macro Defect, 300mm
459 Samco PC-1100
460 Santa Clara Plastics Semi Auto
461 Scientek Technology Stacis 2100
462 SDI Diagnostics Inc SPVCMS4000
463 SELA, MC 600i, Microcleaver,SEM Sample Prep
464 Semilab IR3100S
465 Semilab PS-2000
466 SemiProbe PS4L FA-12
467 Semitool RAIDER sp312
468 Semitool R_310_FMC2
469 Semitool ACMS XT II
470 Semitool ACMSOXT-AGS-E
471 Semitool ECD310
472 Semitool Raider ECD 310
473 Semitool R310FMC2
474 Semitool Spectrum300
475 Semi-Tool Raider GT ECD
476 Shibaura Allegro CDE-300
477 Shibaura CDE-80
478 Shibaura CDE300
479 Sigmameltec SFB6500
480 SII XV 300DB
481 Sokudo RF-300A
482 Sokudo DT-3000
483 Sokudo DT-3000
484 Sokudo DUO
485 Sokudo RF-300A
486 Sokudo RF-300A
487 Sokudo RF-300A
488 SOLVISION Precis 3D
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 10 of 12 Subject to prior sale without notice.
489 SOLVISION PRECIS 3D
490 Sonicar TS-12047HC
491 Sonicor Instruments TS-2404/402424H
492 Sopra EP12
493 Steag 300mm TiW Wet Etch Tool
494 Steag ElectroDep 2000
495 Steag-Mattson TiW Etch Tool
496 Steag-Mattson 300 mm TIW Tool 2
497 Suss MicroTec HVMMFT, Mold Fill Tool, 300mm, C4,
498 Suss MicroTec HVMMFT
499 Suss Microtec XBC 300, 300mm, Automated Bonding Tool
500 SVRT 2001X
501 SVRT 2001X
502 SVRT 2001X
503 Takatori ATM-1100C
504 Tektronix TDS7404
505 Tel Antares
506 Tel Antares
507 Tel Antares
508 Tel Telius SP 304 poly
509 Tel Telius SP 305 SCCM TE
510 Tel Tactras JI -OX
511 Tel Tactras Vigas LK3
512 Tel Tactras Vigas LK3
513 Tel Tactras Chamber
514 Tel Tactras Strip Chamber
515 Tel Tactras Chamber
516 Tel Trias
517 TEL, RLSA-H, 300mm, Chambers, 4 (FOUR) chambers,
518 TEL-NEXX Tornado 300
519 Temptronics TP04000A-2B21-2
520 Tokyo Electron Limit FSI Orion
521 Tokyo Electron Limit FSI Orion
522 Tokyo Electron Limit FSI Orion
523 Tokyo Electron Limit Expedius
524 Tokyo Electron Limit Lithius
525 Tokyo Electron Limit Antares
526 Tokyo Electron Limit Lithius ArF
527 Tokyo Electron Limit nFusion
528 Tokyo Electron Limit NFusion 700 MP
529 Tokyo Electron Ltd INDY PLUS - IRAD
530 Tokyo Electron Ltd Lithius KrF
531 Tokyo Electron Ltd Lithius Pro i
532 Tokyo Electron Ltd 8500PE
533 Tokyo Electron Ltd ACT 12
534 Tokyo Electron Ltd Alpha 303i
535 Tokyo Electron Ltd Alpha 303i
536 Tokyo Electron Ltd Alpha 303i
537 Tokyo Electron Ltd Alpha 303i
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 11 of 12 Subject to prior sale without notice.
538 Tokyo Electron Ltd Alpha 303i
539 Tokyo Electron Ltd Alpha 303i
540 Tokyo Electron Ltd Alpha 303i
541 Tokyo Electron Ltd Alpha 303i
542 Tokyo Electron Ltd Alpha 303i
543 Tokyo Electron Ltd Alpha 303i
544 Tokyo Electron Ltd Certas LEAGA
545 Tokyo Electron Ltd Telius SP 305 SCCM
546 Tokyo Electron Ltd Telius SP 305 SCCM
547 Tokyo Electron Ltd Telius SP SCCM DT
548 Tokyo Electron Ltd Lithius ArF
549 Tokyo Electron Ltd Lithius ArF
550 Tokyo Electron Ltd Tactras, Vigas, ATCC
551 Tokyo Electron Ltd Tactras
552 Toray Industries Inc HS-830
553 True Refrigerator S-72-SCI-HC
554 True Refrigerator TS-72-SCI-HC
555 Ultratech Stepper LSA 201
556 Ultratech Stepper LSA100
557 Universal Plastics Solvent Bench
558 Unknown Parts Clean Box - Exhausted
559 VEECO (Bruker Nano), X-1D, AFM, 300mm
560 VEECO (Bruker Nano), X-3D, AFM, 300mm
561 VEECO (Bruker Nano), X-3D, AFM, 300mm
562
Veeco, Bruker, Digital Instruments, AFM, 200mm, DUVX-
210
563 VLSI Standards PDS-100
564 VWR Scientific Sheldon Oven 1610
565 VWR Scientific 61161-326
566 VWR Scientific 1601
567 VWR Scientific 1601
568 VWR Scientific Sheldon Oven 1601
569 VWR Scientific Sheldon Oven 1601
570 Waters 2695
571 WENESCO HP 1616 UDVG
572 WENESCO HP 1616 UDVG
573 Wentworth Labs MP-2300
574 Wentworth Labs MP-2300
575 Wilt Industries 4106
576 Zeiss Axiotron II
577 Zeiss Axiotron II
578 Zeiss Axiotron II
579 Zeiss Axiotron II
580 Zeiss Axiotron II
581 Zeiss Axiotron II
582 Zeiss Axiotron II
583 Zeiss LEA 1530
Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time!
sales@semistarcorp.com ID-4781-1 12 of 12 Subject to prior sale without notice.

More Related Content

What's hot

Spicepark mar2017(4,629 spice models)
Spicepark mar2017(4,629 spice models)Spicepark mar2017(4,629 spice models)
Spicepark mar2017(4,629 spice models)Tsuyoshi Horigome
 
SPICE MODEL of SCH2080KE (Professional+BDP Model) in SPICE PARK
SPICE MODEL of SCH2080KE (Professional+BDP Model) in SPICE PARKSPICE MODEL of SCH2080KE (Professional+BDP Model) in SPICE PARK
SPICE MODEL of SCH2080KE (Professional+BDP Model) in SPICE PARKTsuyoshi Horigome
 
Spicepark apr2017 (4,640 spice models)
Spicepark apr2017 (4,640 spice models)Spicepark apr2017 (4,640 spice models)
Spicepark apr2017 (4,640 spice models)Tsuyoshi Horigome
 
SPICE MODEL of SCH2080KE (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SCH2080KE (Standard+BDS Model) in SPICE PARKSPICE MODEL of SCH2080KE (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SCH2080KE (Standard+BDS Model) in SPICE PARKTsuyoshi Horigome
 
2SK4017 (Standard Model) PSpice Model (Free SPICE Model)
2SK4017 (Standard Model) PSpice Model  (Free SPICE Model)2SK4017 (Standard Model) PSpice Model  (Free SPICE Model)
2SK4017 (Standard Model) PSpice Model (Free SPICE Model)Tsuyoshi Horigome
 
SPICE PARK ALL List OCT2015(4,432 Models)
SPICE PARK ALL List OCT2015(4,432 Models) SPICE PARK ALL List OCT2015(4,432 Models)
SPICE PARK ALL List OCT2015(4,432 Models) Tsuyoshi Horigome
 
SPICE PARK Update of JAN2018, 10 SPICE Models
SPICE PARK Update of JAN2018, 10 SPICE ModelsSPICE PARK Update of JAN2018, 10 SPICE Models
SPICE PARK Update of JAN2018, 10 SPICE ModelsTsuyoshi Horigome
 
SPICE MODEL of SCT2080KE (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SCT2080KE (Standard+BDS Model) in SPICE PARKSPICE MODEL of SCT2080KE (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SCT2080KE (Standard+BDS Model) in SPICE PARKTsuyoshi Horigome
 
Spicepark AUG2017 (4,726Models)
Spicepark AUG2017 (4,726Models)Spicepark AUG2017 (4,726Models)
Spicepark AUG2017 (4,726Models)Tsuyoshi Horigome
 
SPICEPARK JAN2018(4,792 Models)
SPICEPARK JAN2018(4,792 Models)SPICEPARK JAN2018(4,792 Models)
SPICEPARK JAN2018(4,792 Models)Tsuyoshi Horigome
 
MTM23223 LTspice Model (Free SPICE Model)
MTM23223 LTspice Model (Free SPICE Model)MTM23223 LTspice Model (Free SPICE Model)
MTM23223 LTspice Model (Free SPICE Model)Tsuyoshi Horigome
 
SPICE PARK ALL LIST of SEP2015
SPICE PARK ALL LIST of SEP2015SPICE PARK ALL LIST of SEP2015
SPICE PARK ALL LIST of SEP2015Tsuyoshi Horigome
 
Update 11 models in SPICE PARK(DEC2018)
Update 11 models in SPICE PARK(DEC2018)Update 11 models in SPICE PARK(DEC2018)
Update 11 models in SPICE PARK(DEC2018)Tsuyoshi Horigome
 
Update 10 models in SPICE PARK(MAY2018)
Update 10 models in SPICE PARK(MAY2018) Update 10 models in SPICE PARK(MAY2018)
Update 10 models in SPICE PARK(MAY2018) Tsuyoshi Horigome
 
Update 22 models(SBD) in SPICE PARK(FEB2022)
Update 22 models(SBD) in SPICE PARK(FEB2022)Update 22 models(SBD) in SPICE PARK(FEB2022)
Update 22 models(SBD) in SPICE PARK(FEB2022)Tsuyoshi Horigome
 
Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Emily Tan
 
MBN600E45A LTspice Model (Free SPICE Model)
MBN600E45A LTspice Model (Free SPICE Model)MBN600E45A LTspice Model (Free SPICE Model)
MBN600E45A LTspice Model (Free SPICE Model)Tsuyoshi Horigome
 
High Voltage Isolation Flyback Converter using LTspice
High Voltage Isolation Flyback Converter using LTspiceHigh Voltage Isolation Flyback Converter using LTspice
High Voltage Isolation Flyback Converter using LTspiceTsuyoshi Horigome
 

What's hot (19)

Spicepark mar2017(4,629 spice models)
Spicepark mar2017(4,629 spice models)Spicepark mar2017(4,629 spice models)
Spicepark mar2017(4,629 spice models)
 
SPICE MODEL of SCH2080KE (Professional+BDP Model) in SPICE PARK
SPICE MODEL of SCH2080KE (Professional+BDP Model) in SPICE PARKSPICE MODEL of SCH2080KE (Professional+BDP Model) in SPICE PARK
SPICE MODEL of SCH2080KE (Professional+BDP Model) in SPICE PARK
 
Spicepark apr2017 (4,640 spice models)
Spicepark apr2017 (4,640 spice models)Spicepark apr2017 (4,640 spice models)
Spicepark apr2017 (4,640 spice models)
 
SPICE MODEL of SCH2080KE (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SCH2080KE (Standard+BDS Model) in SPICE PARKSPICE MODEL of SCH2080KE (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SCH2080KE (Standard+BDS Model) in SPICE PARK
 
2SK4017 (Standard Model) PSpice Model (Free SPICE Model)
2SK4017 (Standard Model) PSpice Model  (Free SPICE Model)2SK4017 (Standard Model) PSpice Model  (Free SPICE Model)
2SK4017 (Standard Model) PSpice Model (Free SPICE Model)
 
SPICE PARK ALL List OCT2015(4,432 Models)
SPICE PARK ALL List OCT2015(4,432 Models) SPICE PARK ALL List OCT2015(4,432 Models)
SPICE PARK ALL List OCT2015(4,432 Models)
 
SPICE PARK Update of JAN2018, 10 SPICE Models
SPICE PARK Update of JAN2018, 10 SPICE ModelsSPICE PARK Update of JAN2018, 10 SPICE Models
SPICE PARK Update of JAN2018, 10 SPICE Models
 
SPICE MODEL of SCT2080KE (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SCT2080KE (Standard+BDS Model) in SPICE PARKSPICE MODEL of SCT2080KE (Standard+BDS Model) in SPICE PARK
SPICE MODEL of SCT2080KE (Standard+BDS Model) in SPICE PARK
 
Spicepark AUG2017 (4,726Models)
Spicepark AUG2017 (4,726Models)Spicepark AUG2017 (4,726Models)
Spicepark AUG2017 (4,726Models)
 
SPICEPARK JAN2018(4,792 Models)
SPICEPARK JAN2018(4,792 Models)SPICEPARK JAN2018(4,792 Models)
SPICEPARK JAN2018(4,792 Models)
 
Circuit Design using TI
Circuit Design using TICircuit Design using TI
Circuit Design using TI
 
MTM23223 LTspice Model (Free SPICE Model)
MTM23223 LTspice Model (Free SPICE Model)MTM23223 LTspice Model (Free SPICE Model)
MTM23223 LTspice Model (Free SPICE Model)
 
SPICE PARK ALL LIST of SEP2015
SPICE PARK ALL LIST of SEP2015SPICE PARK ALL LIST of SEP2015
SPICE PARK ALL LIST of SEP2015
 
Update 11 models in SPICE PARK(DEC2018)
Update 11 models in SPICE PARK(DEC2018)Update 11 models in SPICE PARK(DEC2018)
Update 11 models in SPICE PARK(DEC2018)
 
Update 10 models in SPICE PARK(MAY2018)
Update 10 models in SPICE PARK(MAY2018) Update 10 models in SPICE PARK(MAY2018)
Update 10 models in SPICE PARK(MAY2018)
 
Update 22 models(SBD) in SPICE PARK(FEB2022)
Update 22 models(SBD) in SPICE PARK(FEB2022)Update 22 models(SBD) in SPICE PARK(FEB2022)
Update 22 models(SBD) in SPICE PARK(FEB2022)
 
Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020Semiconductor equipment tool may 18th 2020
Semiconductor equipment tool may 18th 2020
 
MBN600E45A LTspice Model (Free SPICE Model)
MBN600E45A LTspice Model (Free SPICE Model)MBN600E45A LTspice Model (Free SPICE Model)
MBN600E45A LTspice Model (Free SPICE Model)
 
High Voltage Isolation Flyback Converter using LTspice
High Voltage Isolation Flyback Converter using LTspiceHigh Voltage Isolation Flyback Converter using LTspice
High Voltage Isolation Flyback Converter using LTspice
 

Similar to Semiconductor Equipment-SS4781-1

Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Emily Tan
 
Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List Emily Tan
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Emily Tan
 
Semiconductor equipment parts in stock march 2020 part1
Semiconductor equipment parts in stock march 2020   part1Semiconductor equipment parts in stock march 2020   part1
Semiconductor equipment parts in stock march 2020 part1Emily Tan
 
Semiconductor equipment parts may 2020 part1
Semiconductor equipment parts may 2020 part1Semiconductor equipment parts may 2020 part1
Semiconductor equipment parts may 2020 part1Emily Tan
 
M pact new air circuit breaker 400-4000 a
M pact  new air circuit breaker 400-4000 aM pact  new air circuit breaker 400-4000 a
M pact new air circuit breaker 400-4000 atwinkleerai
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi starEmily Tan
 
Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020Emily Tan
 
R2 2021 catalogue - sports eyewear, cycling helmets
R2 2021 catalogue - sports eyewear, cycling helmetsR2 2021 catalogue - sports eyewear, cycling helmets
R2 2021 catalogue - sports eyewear, cycling helmetsEduard Kutscher
 
SPICE PARK AUG2018 (4,873 Models)
SPICE PARK AUG2018 (4,873 Models)SPICE PARK AUG2018 (4,873 Models)
SPICE PARK AUG2018 (4,873 Models)Tsuyoshi Horigome
 
SPICE PARK JUL2018 (4,850 Models)
SPICE PARK JUL2018 (4,850 Models) SPICE PARK JUL2018 (4,850 Models)
SPICE PARK JUL2018 (4,850 Models) Tsuyoshi Horigome
 
Cataloge ge 2.industrial components_dienhathe.com-1_record_plus
Cataloge ge 2.industrial components_dienhathe.com-1_record_plusCataloge ge 2.industrial components_dienhathe.com-1_record_plus
Cataloge ge 2.industrial components_dienhathe.com-1_record_plusDien Ha The
 
Cataloge ge 2.industrial components-1_record_plus
Cataloge ge 2.industrial components-1_record_plusCataloge ge 2.industrial components-1_record_plus
Cataloge ge 2.industrial components-1_record_plusDien Ha The
 
Cataloge ge 2.industrial components-1_record_plus
Cataloge ge 2.industrial components-1_record_plusCataloge ge 2.industrial components-1_record_plus
Cataloge ge 2.industrial components-1_record_plusThuan Kieu
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corpEmily Tan
 
Spicepark DEC2018 (4,941 SPICE Models)
Spicepark DEC2018 (4,941 SPICE Models)Spicepark DEC2018 (4,941 SPICE Models)
Spicepark DEC2018 (4,941 SPICE Models)Tsuyoshi Horigome
 

Similar to Semiconductor Equipment-SS4781-1 (20)

Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020Semicoductor equipment may19th 2020
Semicoductor equipment may19th 2020
 
Used Semiconductor Equipment List
Used Semiconductor Equipment List Used Semiconductor Equipment List
Used Semiconductor Equipment List
 
Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141Semiconductor equipment parts in stock-SSe-0141
Semiconductor equipment parts in stock-SSe-0141
 
Semiconductor equipment parts in stock march 2020 part1
Semiconductor equipment parts in stock march 2020   part1Semiconductor equipment parts in stock march 2020   part1
Semiconductor equipment parts in stock march 2020 part1
 
Semiconductor equipment parts may 2020 part1
Semiconductor equipment parts may 2020 part1Semiconductor equipment parts may 2020 part1
Semiconductor equipment parts may 2020 part1
 
M pact new air circuit breaker 400-4000 a
M pact  new air circuit breaker 400-4000 aM pact  new air circuit breaker 400-4000 a
M pact new air circuit breaker 400-4000 a
 
Amat p5000 etcher semi star
Amat p5000 etcher   semi starAmat p5000 etcher   semi star
Amat p5000 etcher semi star
 
SPICE PARK, 4,749 Models
SPICE PARK, 4,749 ModelsSPICE PARK, 4,749 Models
SPICE PARK, 4,749 Models
 
Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020Semiconductor equipment on sale may 20th 2020
Semiconductor equipment on sale may 20th 2020
 
R2 2021 catalogue - sports eyewear, cycling helmets
R2 2021 catalogue - sports eyewear, cycling helmetsR2 2021 catalogue - sports eyewear, cycling helmets
R2 2021 catalogue - sports eyewear, cycling helmets
 
SPICE PARK AUG2018 (4,873 Models)
SPICE PARK AUG2018 (4,873 Models)SPICE PARK AUG2018 (4,873 Models)
SPICE PARK AUG2018 (4,873 Models)
 
SPICE PARK JUL2018 (4,850 Models)
SPICE PARK JUL2018 (4,850 Models) SPICE PARK JUL2018 (4,850 Models)
SPICE PARK JUL2018 (4,850 Models)
 
Bolting tools
Bolting toolsBolting tools
Bolting tools
 
FUNTTEL_0304.pdf
FUNTTEL_0304.pdfFUNTTEL_0304.pdf
FUNTTEL_0304.pdf
 
Cataloge ge 2.industrial components_dienhathe.com-1_record_plus
Cataloge ge 2.industrial components_dienhathe.com-1_record_plusCataloge ge 2.industrial components_dienhathe.com-1_record_plus
Cataloge ge 2.industrial components_dienhathe.com-1_record_plus
 
Cataloge ge 2.industrial components-1_record_plus
Cataloge ge 2.industrial components-1_record_plusCataloge ge 2.industrial components-1_record_plus
Cataloge ge 2.industrial components-1_record_plus
 
Cataloge ge 2.industrial components-1_record_plus
Cataloge ge 2.industrial components-1_record_plusCataloge ge 2.industrial components-1_record_plus
Cataloge ge 2.industrial components-1_record_plus
 
Pioneer xr-a370 670
Pioneer xr-a370 670Pioneer xr-a370 670
Pioneer xr-a370 670
 
20220311 inventory-semi star corp
20220311 inventory-semi star corp20220311 inventory-semi star corp
20220311 inventory-semi star corp
 
Spicepark DEC2018 (4,941 SPICE Models)
Spicepark DEC2018 (4,941 SPICE Models)Spicepark DEC2018 (4,941 SPICE Models)
Spicepark DEC2018 (4,941 SPICE Models)
 

More from Emily Tan

Heatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfHeatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfEmily Tan
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipmentEmily Tan
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentEmily Tan
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipmentEmily Tan
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipmentEmily Tan
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrumentEmily Tan
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipmentEmily Tan
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipmentEmily Tan
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipmentEmily Tan
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipmentEmily Tan
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEmily Tan
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Emily Tan
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEmily Tan
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryEmily Tan
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMEmily Tan
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Emily Tan
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsEmily Tan
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor EquipmentEmily Tan
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment InventoryEmily Tan
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on saleEmily Tan
 

More from Emily Tan (20)

Heatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdfHeatpulse 4100 Rapid Thermal Processor.pdf
Heatpulse 4100 Rapid Thermal Processor.pdf
 
Plasma asher descum stripper equipment
Plasma asher descum stripper equipmentPlasma asher descum stripper equipment
Plasma asher descum stripper equipment
 
Plasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipmentPlasma etcher rie icp drie bosch process equipment
Plasma etcher rie icp drie bosch process equipment
 
Pecvd cvd equipment
Pecvd cvd equipmentPecvd cvd equipment
Pecvd cvd equipment
 
Oven furnace hot plate equipment
Oven furnace hot plate equipmentOven furnace hot plate equipment
Oven furnace hot plate equipment
 
Metrology probe tester equipment instrument
Metrology probe tester equipment instrumentMetrology probe tester equipment instrument
Metrology probe tester equipment instrument
 
Mask aligner equipment
Mask aligner equipmentMask aligner equipment
Mask aligner equipment
 
Evaporator thin film equipment
Evaporator thin film equipmentEvaporator thin film equipment
Evaporator thin film equipment
 
Wet process srd equipment
Wet process srd equipmentWet process srd equipment
Wet process srd equipment
 
Sputter thin film equipment
Sputter thin film equipmentSputter thin film equipment
Sputter thin film equipment
 
Equipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-enEquipment inventorp list 20201231 1-en
Equipment inventorp list 20201231 1-en
 
Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020 Semi star equipment parts inventory list dec 2020
Semi star equipment parts inventory list dec 2020
 
Equipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-enEquipment inventory list dec. 2020-en
Equipment inventory list dec. 2020-en
 
Used SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventoryUsed SMT & PTH &ATE assembly equipment inventory
Used SMT & PTH &ATE assembly equipment inventory
 
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMMElectronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
Electronic test equipment, Oscilloscope, Spectrum, Analyzer ,DMM
 
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
Lam Research ,Novellus, Gasonics, Tel ,Tokyo Electron Ltd, Matrix etc. Spare ...
 
Spare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied MaterialsSpare Parts List-AMAT, Applied Materials
Spare Parts List-AMAT, Applied Materials
 
Semiconductor Equipment
Semiconductor EquipmentSemiconductor Equipment
Semiconductor Equipment
 
Semiconductor Equipment Inventory
Semiconductor Equipment InventorySemiconductor Equipment Inventory
Semiconductor Equipment Inventory
 
ASYST Parts on sale
ASYST Parts on saleASYST Parts on sale
ASYST Parts on sale
 

Recently uploaded

Navigating Identity and Access Management in the Modern Enterprise
Navigating Identity and Access Management in the Modern EnterpriseNavigating Identity and Access Management in the Modern Enterprise
Navigating Identity and Access Management in the Modern EnterpriseWSO2
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FMESafe Software
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native ApplicationsWSO2
 
TEST BANK For Principles of Anatomy and Physiology, 16th Edition by Gerard J....
TEST BANK For Principles of Anatomy and Physiology, 16th Edition by Gerard J....TEST BANK For Principles of Anatomy and Physiology, 16th Edition by Gerard J....
TEST BANK For Principles of Anatomy and Physiology, 16th Edition by Gerard J....rightmanforbloodline
 
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)Samir Dash
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Orbitshub
 
Six Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal OntologySix Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal Ontologyjohnbeverley2021
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyKhushali Kathiriya
 
Platformless Horizons for Digital Adaptability
Platformless Horizons for Digital AdaptabilityPlatformless Horizons for Digital Adaptability
Platformless Horizons for Digital AdaptabilityWSO2
 
WSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering DevelopersWSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering DevelopersWSO2
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdfSandro Moreira
 
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot ModelMcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot ModelDeepika Singh
 
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Victor Rentea
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...DianaGray10
 
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...WSO2
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...Zilliz
 
API Governance and Monetization - The evolution of API governance
API Governance and Monetization -  The evolution of API governanceAPI Governance and Monetization -  The evolution of API governance
API Governance and Monetization - The evolution of API governanceWSO2
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfOrbitshub
 
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Victor Rentea
 

Recently uploaded (20)

Navigating Identity and Access Management in the Modern Enterprise
Navigating Identity and Access Management in the Modern EnterpriseNavigating Identity and Access Management in the Modern Enterprise
Navigating Identity and Access Management in the Modern Enterprise
 
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers:  A Deep Dive into Serverless Spatial Data and FMECloud Frontiers:  A Deep Dive into Serverless Spatial Data and FME
Cloud Frontiers: A Deep Dive into Serverless Spatial Data and FME
 
Architecting Cloud Native Applications
Architecting Cloud Native ApplicationsArchitecting Cloud Native Applications
Architecting Cloud Native Applications
 
TEST BANK For Principles of Anatomy and Physiology, 16th Edition by Gerard J....
TEST BANK For Principles of Anatomy and Physiology, 16th Edition by Gerard J....TEST BANK For Principles of Anatomy and Physiology, 16th Edition by Gerard J....
TEST BANK For Principles of Anatomy and Physiology, 16th Edition by Gerard J....
 
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
AI+A11Y 11MAY2024 HYDERBAD GAAD 2024 - HelloA11Y (11 May 2024)
 
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
Navigating the Deluge_ Dubai Floods and the Resilience of Dubai International...
 
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
+971581248768>> SAFE AND ORIGINAL ABORTION PILLS FOR SALE IN DUBAI AND ABUDHA...
 
Six Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal OntologySix Myths about Ontologies: The Basics of Formal Ontology
Six Myths about Ontologies: The Basics of Formal Ontology
 
Artificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : UncertaintyArtificial Intelligence Chap.5 : Uncertainty
Artificial Intelligence Chap.5 : Uncertainty
 
Platformless Horizons for Digital Adaptability
Platformless Horizons for Digital AdaptabilityPlatformless Horizons for Digital Adaptability
Platformless Horizons for Digital Adaptability
 
WSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering DevelopersWSO2's API Vision: Unifying Control, Empowering Developers
WSO2's API Vision: Unifying Control, Empowering Developers
 
[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf[BuildWithAI] Introduction to Gemini.pdf
[BuildWithAI] Introduction to Gemini.pdf
 
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot ModelMcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot Model
Mcleodganj Call Girls 🥰 8617370543 Service Offer VIP Hot Model
 
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
Modular Monolith - a Practical Alternative to Microservices @ Devoxx UK 2024
 
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
Connector Corner: Accelerate revenue generation using UiPath API-centric busi...
 
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...
WSO2 Micro Integrator for Enterprise Integration in a Decentralized, Microser...
 
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ..."I see eyes in my soup": How Delivery Hero implemented the safety system for ...
"I see eyes in my soup": How Delivery Hero implemented the safety system for ...
 
API Governance and Monetization - The evolution of API governance
API Governance and Monetization -  The evolution of API governanceAPI Governance and Monetization -  The evolution of API governance
API Governance and Monetization - The evolution of API governance
 
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdfRising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
Rising Above_ Dubai Floods and the Fortitude of Dubai International Airport.pdf
 
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024Finding Java's Hidden Performance Traps @ DevoxxUK 2024
Finding Java's Hidden Performance Traps @ DevoxxUK 2024
 

Semiconductor Equipment-SS4781-1

  • 1. No. OEM Model 1 ABB Engineering IRB120 2 Accent Optical Caliper Elan 3 Accent Optical Caliper Elan 4 Accent Optical Caliper Elan 5 Accent Optical Caliper Elan 6 Accent Optical Caliper Elan 7 Accent Optical Caliper Elan 8 Accent Optical Caliper Q300 9 Accent Optical Caliper Q300 10 Accretech UF3000X 11 Accretech UF3000EX 12 Accretech UF3000EX 13 Accretech Win-Win 50 - A5000, Hurricane 14 Accretech Win-Win 50 - A5000, Hurricane 15 Accretech Win-Win 50 - A5000, Hurricane 16 Accretech Win-Win 50 17 Accretech Win-Win 50 18 Accretech Win-Win 50 19 ADE EpiScan 20 Adixen APR4300 21 Adixen APR4300 22 Advantest E3630 23 Advantest HP83000 24 Advantest HP83000 25 Advantest HP83000 26 Advantest T5771 27 Agilent 7500cs-C 28 Agilent 81110A 29 Agilent Tech 8510 30 Agilent Tech V3300 31 Akrion MP-2000 32 Akrion 300mm Solvent Sink 33 Akrion MP-2000 34 Akrion MP-2000 35 Akrion MP-2000 36 Akrion MP-2000 37 Akrion UP V2 MP.2000 38 Alcatel ASM 180TD 39 AMAT Centura AP, AdvantEdge G5 40 AMAT Centura 41 AMAT Ultima X 42 AMAT Uvision 200 43 Ancosys P13010 Ancolyzer 44 Applied Komatsu Tech 1600 45 Applied Materials Endura2 CVD Co Chamber, VOLTA 46 Applied Materials Producer GT Chamber 47 Applied Materials NanoSEM 3D 48 Applied Materials NanoSEM 3D Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 1 of 12 Subject to prior sale without notice.
  • 2. 49 Applied Materials NanoSEM 3D 50 Applied Materials NanoSEM 3D 51 Applied Materials Centura Enabler 52 Applied Materials Centura Enabler 53 Applied Materials Centura Enabler 54 Applied Materials Enabler, Centura, 4 Chamber Etch 55 Applied Materials Centura AP 56 Applied Materials Centura Etch 57 Applied Materials Centura Etch, DPS Minos 58 Applied Materials Centura DPS 59 Applied Materials Dfinder2 60 Applied Materials Dfinder2 61 Applied Materials Elite MS MC 62 Applied Materials Ultima X 63 Applied Materials Olympia ALS 64 Applied Materials Producer GT Chambers 65 Applied Materials Producer GT 66 Applied Materials Producer GT Frontier 67 Applied Materials Producer GT Frontier 68 Applied Materials Producer GT Frontier 69 Applied Materials Producer SE Chambers 70 Applied Materials Producer SE 71 Applied Materials Producer SE UV Cure 72 Applied Materials Producer SE 73 Applied Materials Producer SE 74 Applied Materials Producer SE 75 Applied Materials Producer SE 76 Applied Materials Producer SE EFEM & LL Only 77 Applied Materials SemVision CX 78 Applied Materials Ultima X 79 Applied Materials Ultima X 80 Applied Materials Uvision 5 81 Applied Materials Vantage 82 Applied Materials Vantage Vulcan 83 Applied Materials Vantage Vulcan 84 Applied Materials NanoSEM 3D 85 Applied Materials NanoSEM 3D 86 Applied Materials Producer GT Chamber 87 Applied Materials In Centura Radiance RTP Chamber 88 Applied Materials In Dfinder2 89 Applied Materials In Dfinder2 90 Applied Materials In Endura2 Chamber CVD ALD TiN 91 Applied Materials In Endura2 Ti Chambers ONLY 92 Applied Materials In Endura2 93 Applied Materials In Endura2 Chambers 94 Applied Materials In UltimaX 95 Applied Materials In Raider ECD 96 Applied Materials In Raider ECD 97 Applied Materials In Raider ECD 310 Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 2 of 12 Subject to prior sale without notice.
  • 3. 98 Applied Materials, ELITE M5 MC, 300mm, eBeam Inspection 99 ASM A412 Dual Reactor 100 ASM A412 Dual Reactor 101 ASM A412 102 ASM E3200 RP 103 ASM E3200 RP 104 ASM Eagle XP8 105 ASM Eagle XP8 106 ASML AT : 1100B 107 ASML XT:1250D 108 ASML NXT:1950Ai 109 ASML XT:1250 110 ASML XT: 1250B 111 Asymtek S-800 112 Asyst Technologies RMS 2150 INX/S 113 Asyst Technologies Versaport 200mm 114 Autoclean ISG-2000 115 Axcelis Technologies Compact II 116 Axcelis Technologies Optima HDxT 117 Axcelis Technologies HC3 118 Axcelis Technologies Summit RTP 119 Axcelis Technologies Summit 120 AXIDEN APR4300 121 AXIDEN APR 4300 Pod Regenerator 122 Biorad Caliper Q300 123 Blue M DCC 206CY 124 Blue M DDC 206CY Oven 125 Blue M DCC-1406CY 126 Blue M DDC-206CY 127 Blue M DCC-206-EV-ST350 128 Blue M RG-3010F-2 129 Blue M RG-3010F-2 130 Branson 5210DTH 131 Brewer Science 300XD 132 Bridgeport Series I 2 HP 133 Brooks M1900 134 Bruker, AFM, 300mm, InSight 3D-DR 135 Bruker, D8 Discover, 300mm, X-Ray Metrology 136 Bruker, D8FABLINE, 300mm, X-Ray Metrology 137 Bruker, D8FABLINE, 300mm, X-Ray Metrology 138 BTU Engineering TCAS 181-7-72-E-36 139 Cameca LEXFAB300 140 Camtek X-ACT 141 Canon Anelva C-7100GT 142 Carl Zeiss AIMS 32-193i 143 Carl Zeiss MeRit HR32 Plus 144 Cascade Microtech 11000 145 Cascade Microtech 12000 146 Cascade Microtech 12000 Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 3 of 12 Subject to prior sale without notice.
  • 4. 147 Cascade Microtech 12000 148 Check Point Technolo InfraScanTDM 300 TDE 149 Chemical Safety Tech Dual Drum Waste Cabinet 150 Chiron XACT830A 151 Ci Science Torus 300K 152 Commonwealth Sci 12000 Prober 153 Control Air D-9-L-SM-UM-MOD 184 154 Cymer EX-5700 155 Cymer EX-5700 156 Dainippon Screen FC-3000 157 Dainippon Screen Expedius 158 Dainippon Screen FC-3000 159 Dainippon Screen FC-3000 160 Dainippon Screen FC-3100 161 Dainippon Screen SK-80BW-BVP 162 Dainippon Screen SK-80BW-BVP 163 Dainippon Screen SK-80BW-BVP 164 Dainippon Screen SU-3100 165 Dainippon Screen SU-3100 166 Dainippon Screen SU-3100 167 Dainippon Screen SU-3100 168 Dainippon Screen SU-3100 169 Dainippon Screen SU-3100 170 Dainippon Screen SU-3100 171 Dainippon Screen SU-3100 172 Dainippon Screen SU-3200 173 Datacon 2200 APM+ 174 Dektak, V200SI, Surface Profilometer 175 Denton Infinity 18 176 Despatch PWB-48X38X64-3E 177 Despatch PWB-48X38X64-3E 178 Despatch PWB-48X38X64-3E 179 Disco DFG-82IF/8 180 DMS Tornado 200 181 E.A. Fischione Instr 1030 182 E.A. Fischione Instr 1060 183 E.A. Fischione Instr 2040 184 Ebara EPO113 185 Ebara EST 100 WN 186 Ebara EST 200 WN 187 Ebara EST 300 188 Ebara FREX 300 S 189 Ebara FREX 300 S 190 Ebara F-REX300S 191 Ebara FREX 300 S 192 Ebara, F-REX300S, 300mm, CMP, Chemical Mechanical Polish 193 Electroglas Unknown 194 Electroglas 2001X Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 4 of 12 Subject to prior sale without notice.
  • 5. 195 Electroglas 2001X 196 Electroglas 2001X 197 Electroglas 2001X 198 Electroglas 2001X 199 Electroglas 4090 200 Electroglas 4090u 201 Electroglas 4090u 202 Electroglas 4090u 203 Empire Cleaning PF-2632 M-02522 204 ENTEGRIS 01-026052-13 205 ENTEGRIS LPDF40SS4 206 ENTEGRIS RSP200-2106W04-2 207 Esco EHWS 8C 208 Estion E-RETICLE V 4M 209 Etec Systems MEBES 4500 210 Etec Systems MEBES 5000 211 Extraction Systems TMB - RTM 212 Feedmatic Vacuum Sealer 213 FEI PHILIPS XL30S, SEM 214 FEI 835 215 FEI CLM 3D 216 FEI CLM 217 FEI DA300 218 FEI Ex-Situ Plucker 219 FEI TEMLINK - KY02, 14771-003 220 FEI ExSolve 2 WTP EFEM 221 FEI FIB 200 222 FEI Meridian-IV 223 FEI Micrion 2500 224 FEI Micrion 2500 225 FEI Micrion 9000 226 FEI Strata FIB 205 227 FEI TEM LINK 228 FEI TEMLINK 14771-003 229 FEI Technia G2 F20 TEM 230 FEI V600 231 Fisher Sci FD400 Binder Oven 232 Fisher Sci FD400 233 Fisher Sci FD 720 Binder Precision Oven 234 Fisher Sci FED720 235 Fisher Sci FED 720 236 Frontier Semi 128L-C2C 237 FSI ORION 238 FSI Orion 239 FSI Scorpio 240 GEMETEC Elymat III 241 GEMETEC WSPS53 242 GMW 5403 Electromagnet 243 GTX Marketing Wet Bench Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 5 of 12 Subject to prior sale without notice.
  • 6. 244 Hewlett Packard HP 83000 Tester 245 Hewlett Packard 83000 Tester 246 Hewlett Packard 83000 Tester 247 Hitachi HF-2000 248 Hitachi M-8190XT 249 Hitachi Microanalysis System 250 Hitachi AS5000 251 Hitachi S-4700 252 Hitachi S-5200 253 Hitachi S-5200 254 Hitachi S-9380 255 Hitachi S-9380 256 Hitachi S-9380 257 Hitachi S-9380 258 Hitachi 9380 259 Hitachi S-9380 260 Hitachi S-9380 261 Hitachi S-9380 262 Hitachi S-9380 263 Hitachi S-9380 II 264 Hitachi S-9380 265 Hitachi S-9380II 266 Hitachi Z-5700 267 HMI, EP3, 300mm, E-Beam Inspection System 268 HMI, eP4 320, 300mm, ebeam Inspection 269 HMI, eScan 320, 300mm, ebeam Inspection 270 HMI, eScan 500, 300mm, eBeam Inspection Tool 271 Hologenix MTX 2000/2/MIS SLIPBAY 272 HSEB Axiospect 300 273 HSEB Axiospect300 274 HSEB Axiospect 301 275 HSEB Axiospect 301 276 Hugle UPC-12100 277 Hypersonic Chipheraser 1630 278 IMS XTS-FT 279 IPG Micro IX-280 ML 280 ITS Single Mix Tank 281 Jackson Automation EXHAUST BOX 282 Jackson Automation Exhaust Cabinet 283 Jackson Automation Sink 284 Jackson Automation Storage Box 285 JEOL 7555 286 JEOL JEM-2500SE 287 JEOL JEM-2500SE 288 JEOL JWS 7555S 289 JEOL JWS-7515 290 JEOL ARM200CF Super X 291 Jordan Valley JVX7300 292 Jordan Valley JVX7300 Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 6 of 12 Subject to prior sale without notice.
  • 7. 293 Jordan Valley JVX-6200i 294 Jordan Valley JVX6200i 295 Jordan Valley JVX6200i 296 Kashiyama SDE1203B 297 Kashiyama SDE90 298 Keithley Instruments 4200 299 KEITHLEY Insturments, 590 C-V Analyzer, 300mm 300 Kinetic Systems 9101-21-21 301 KINIK BI2 302 KLA-Tencor Uvision 5 303 KLA-Tencor Viper 2435 304 KLA-Tencor Viper 2438 305 KLA-Tencor SpectraCD - XT 306 KLA-Tencor CRS1010 Review Station 307 KLA-Tencor 3905 308 KLA-Tencor 3905 309 KLA-Tencor 5100 310 KLA-Tencor 5200 311 KLA-Tencor 5200 312 KLA-Tencor Archer AIM 313 KLA-Tencor Archer AIM 314 KLA-Tencor Archer AIM 315 KLA-Tencor P2 Data Prep Station, 316 KLA-Tencor ES31 317 KLA-Tencor ES32 318 KLA-Tencor LMS IPRO 7 HT 319 KLA-Tencor P-11 320 KLA-Tencor TeraScan SL516-T5 321 KLA-Tencor SLF576, TeraScan 322 Kokusai Quixace Ultimate 323 Kokusai DJ-1236VN-DF 324 Kokusai DJ-1236VN-DF 325 Kokusai DD-1206V-DF 326 Kokusai DJ-1236VN-DF 327 Kokusai DD-1206-DF 328 Kokusai 329 Kokusai DD-1206-DF 330 Kokusai DD-1223VN 331 Kokusai DJ-1206VN-DM, 332 Kokusai DJ-1206VN-DM 333 Kokusai DJ-1206VN-DM 334 Kokusai Quixace 335 Kokusai Quixace 336 Kurita Water Systems Nitrogen Regas System 337 Kyoto Denkiki KDP-2T030 338 LAM 4600 339 LAM 4600B 340 LAM 2300 Versys STAR-T 341 LAM 2300 Versys Star-T Chambers ONLY Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 7 of 12 Subject to prior sale without notice.
  • 8. 342 LAM Research Corp. 2300 ELD 343 LAM Research Corp. 2300 ELD 344 LAM Research Corp. 4600B 345 Lasertech BI 100 346 Lasertech Reticle Inspection Tool 347 Leica INS3300 348 Leica POLYLITE 88 METALLURGICAL SCOPE 349 Lyncee Holographic Microscope 350 M&W Products Humidifier Module 351 Matsushita Seiki M515-III 352 Matsushita Seiki M515 353 Matsushita Seiki M777 354 Matsushita Seiki M777 355 Mattson Technology Helios 356 Mattson Technology MILLIOS 357 Mega Kinetics Megapure 6001 HC 358 Mega Kinetics Megapure 6001 HC 359 Met One 3313 360 Metron Extraction, Amine 361 Micro Control Co. Abes IV 362 Micro Control Co. Abes Memory PreScreener 363 Micro Control Co. Abes Memory Test Tool 364 Micro Control Co. ABES-V Burn-in tool (hot/cold capability) 365 Micro Control Co. ABES-V 366 Micro Control Co. ABES-V 367 Micro Control Co. ABES-V 368 Micro Control Co. WRP256 Oven 369 Micro Control Co. WRP64 370 Micro Control Co. Abes Memory PreScreener 371 Micro Control Co. WRP256 PreScreen Tool 372 Micro Control Co. WRP256 Oven 373 Micro Lithography 7002 374 Micromanipulator 9000-VIT 375 MKS Instruments AX8559 ASTeX Generator 376 MKS Instruments Liquozone PrimO3 377 Mosaid MS4205 378 Mosaid MS 4205 379 Mosaid MS4205 380 Mosaid MS4205 381 Mosaid MS4205ex 382 MULTIPROBE MP1, Atomic Force Prober (AFP), 300mm 383 Nanometrics ATLAS - 1000-00910 384 Nanometrics Q200I 385 Nanometrics Q200I 386 NCB Network NF200 387 Negevtech Ltd. NT3100 388 Nicolet ECO-1000 389 Novellus Systems C3 Altus Chamber 390 Novellus Systems C3 Altus Chambers Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 8 of 12 Subject to prior sale without notice.
  • 9. 391 Novellus Systems Inova NEXT 392 Novellus Systems Inova 393 Novellus Systems Inova PVD 394 Novellus Systems Inova PVD 395 Novellus Systems Inova NEXT 396 Novellus Systems Inova 397 Novellus Systems Inova PVD 398 Novellus Systems Inova xT 399 Novellus Systems Sabre NeXT 400 Novellus Systems Vector Express 401 Novellus Systems Vector Express 402 Novellus Systems Vector RPC 403 Novellus, Inova, PVD, 200mm, Sputter Dep, Cu Seed 404 NSC PS102W 405 Nuaire Fumeguard 156 406 Nuaire Fumeguard 156 407 NuFlare Technology I EBM-9500 408 Olympus PMG3 409 Oryx EX11000S M65X 410 Oryx EX11000S M65X 411 Packaging Systems Entry Conveyor 412 Pan Abrasives KS9090WB/SS4 413 Particle Meas Sys LASAIR-110 414 Perkin Elmer AANALYST 600 415 Pfeiffer Vacuum APR4300 416 Phoenix Micromex SE 160T 417 Pillar UNIBLOCK CLASSIC 500 KVA UPS 418 Piller UBT+, 1500 419 PREMTEK Internationa 9920 420 Prometrix RS50/e 421 PSK Tera 21 422 PSK Tera 21 423 PSK Tera 21 424 PSK Tera 21 425 PSK Tera 21 426 PSK Tera 21 427 PSS AccuSizer APS 780 428 QUALITAU EM Module system 429 Quantum Focus Instru Laser Signal Injection Microscope 430 Quintel 7000 431 Raytek RXW-1226SFI 432 Revco ULT 2140-5- D30 -40C 433 Revera RVX5000 434 Rigaku 3630 435 Rigaku 3650 436 Rigaku 3750, TXRF 437 Rigaku MFM65 Micro XRF 438 Rigaku V310 - TXRF 439 Rigaku 3272 Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 9 of 12 Subject to prior sale without notice.
  • 10. 440 Rion KS-40AF 441 Rorze RV201-F05-202CE-1 442 Rorze RV201-F05-202CE-1 443 Rorze B2-BL-R 444 Rorze B4 445 Rorze B4 446 Rorze RSR160 447 Royal Sovereign RSL-2702 448 Rudolph Research PCI 449 Rudolph Technologies S300 450 Rudolph Technologies S-300 451 Rudolph Technologies Macro Defect WV320 YVS SERVER 452 Rudolph Technologies Waferview 320 453 Rudolph Technologies Waferview 320 454 Rudolph Technologies Waferview 320 455 Rudolph Technologies WV320 456 Rudolph Technologies Waferview 320 457 Rudolph Technologies Waferview 320 458 Rudolph, WV320 Macro Defect, 300mm 459 Samco PC-1100 460 Santa Clara Plastics Semi Auto 461 Scientek Technology Stacis 2100 462 SDI Diagnostics Inc SPVCMS4000 463 SELA, MC 600i, Microcleaver,SEM Sample Prep 464 Semilab IR3100S 465 Semilab PS-2000 466 SemiProbe PS4L FA-12 467 Semitool RAIDER sp312 468 Semitool R_310_FMC2 469 Semitool ACMS XT II 470 Semitool ACMSOXT-AGS-E 471 Semitool ECD310 472 Semitool Raider ECD 310 473 Semitool R310FMC2 474 Semitool Spectrum300 475 Semi-Tool Raider GT ECD 476 Shibaura Allegro CDE-300 477 Shibaura CDE-80 478 Shibaura CDE300 479 Sigmameltec SFB6500 480 SII XV 300DB 481 Sokudo RF-300A 482 Sokudo DT-3000 483 Sokudo DT-3000 484 Sokudo DUO 485 Sokudo RF-300A 486 Sokudo RF-300A 487 Sokudo RF-300A 488 SOLVISION Precis 3D Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 10 of 12 Subject to prior sale without notice.
  • 11. 489 SOLVISION PRECIS 3D 490 Sonicar TS-12047HC 491 Sonicor Instruments TS-2404/402424H 492 Sopra EP12 493 Steag 300mm TiW Wet Etch Tool 494 Steag ElectroDep 2000 495 Steag-Mattson TiW Etch Tool 496 Steag-Mattson 300 mm TIW Tool 2 497 Suss MicroTec HVMMFT, Mold Fill Tool, 300mm, C4, 498 Suss MicroTec HVMMFT 499 Suss Microtec XBC 300, 300mm, Automated Bonding Tool 500 SVRT 2001X 501 SVRT 2001X 502 SVRT 2001X 503 Takatori ATM-1100C 504 Tektronix TDS7404 505 Tel Antares 506 Tel Antares 507 Tel Antares 508 Tel Telius SP 304 poly 509 Tel Telius SP 305 SCCM TE 510 Tel Tactras JI -OX 511 Tel Tactras Vigas LK3 512 Tel Tactras Vigas LK3 513 Tel Tactras Chamber 514 Tel Tactras Strip Chamber 515 Tel Tactras Chamber 516 Tel Trias 517 TEL, RLSA-H, 300mm, Chambers, 4 (FOUR) chambers, 518 TEL-NEXX Tornado 300 519 Temptronics TP04000A-2B21-2 520 Tokyo Electron Limit FSI Orion 521 Tokyo Electron Limit FSI Orion 522 Tokyo Electron Limit FSI Orion 523 Tokyo Electron Limit Expedius 524 Tokyo Electron Limit Lithius 525 Tokyo Electron Limit Antares 526 Tokyo Electron Limit Lithius ArF 527 Tokyo Electron Limit nFusion 528 Tokyo Electron Limit NFusion 700 MP 529 Tokyo Electron Ltd INDY PLUS - IRAD 530 Tokyo Electron Ltd Lithius KrF 531 Tokyo Electron Ltd Lithius Pro i 532 Tokyo Electron Ltd 8500PE 533 Tokyo Electron Ltd ACT 12 534 Tokyo Electron Ltd Alpha 303i 535 Tokyo Electron Ltd Alpha 303i 536 Tokyo Electron Ltd Alpha 303i 537 Tokyo Electron Ltd Alpha 303i Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 11 of 12 Subject to prior sale without notice.
  • 12. 538 Tokyo Electron Ltd Alpha 303i 539 Tokyo Electron Ltd Alpha 303i 540 Tokyo Electron Ltd Alpha 303i 541 Tokyo Electron Ltd Alpha 303i 542 Tokyo Electron Ltd Alpha 303i 543 Tokyo Electron Ltd Alpha 303i 544 Tokyo Electron Ltd Certas LEAGA 545 Tokyo Electron Ltd Telius SP 305 SCCM 546 Tokyo Electron Ltd Telius SP 305 SCCM 547 Tokyo Electron Ltd Telius SP SCCM DT 548 Tokyo Electron Ltd Lithius ArF 549 Tokyo Electron Ltd Lithius ArF 550 Tokyo Electron Ltd Tactras, Vigas, ATCC 551 Tokyo Electron Ltd Tactras 552 Toray Industries Inc HS-830 553 True Refrigerator S-72-SCI-HC 554 True Refrigerator TS-72-SCI-HC 555 Ultratech Stepper LSA 201 556 Ultratech Stepper LSA100 557 Universal Plastics Solvent Bench 558 Unknown Parts Clean Box - Exhausted 559 VEECO (Bruker Nano), X-1D, AFM, 300mm 560 VEECO (Bruker Nano), X-3D, AFM, 300mm 561 VEECO (Bruker Nano), X-3D, AFM, 300mm 562 Veeco, Bruker, Digital Instruments, AFM, 200mm, DUVX- 210 563 VLSI Standards PDS-100 564 VWR Scientific Sheldon Oven 1610 565 VWR Scientific 61161-326 566 VWR Scientific 1601 567 VWR Scientific 1601 568 VWR Scientific Sheldon Oven 1601 569 VWR Scientific Sheldon Oven 1601 570 Waters 2695 571 WENESCO HP 1616 UDVG 572 WENESCO HP 1616 UDVG 573 Wentworth Labs MP-2300 574 Wentworth Labs MP-2300 575 Wilt Industries 4106 576 Zeiss Axiotron II 577 Zeiss Axiotron II 578 Zeiss Axiotron II 579 Zeiss Axiotron II 580 Zeiss Axiotron II 581 Zeiss Axiotron II 582 Zeiss Axiotron II 583 Zeiss LEA 1530 Please email us for the availability of the 8inch/12 inch equipment. Appreciate your time! sales@semistarcorp.com ID-4781-1 12 of 12 Subject to prior sale without notice.