SlideShare a Scribd company logo
1 of 3
Download to read offline
Implementation of HDLC Protocol Using Verilog
K. Durga Bhavani
Dept. of ECE
RGUKT-Basar
Durga.ece06@gmail.com
B. Venkanna
Dept. of ECE
RGUKT-Basar
Venkanna.engineer@gmail.com
K. Gayathri
Dept. of ECE
Intell Engg. College-Anatapur
kgayathri.vijji@gmail.com
Abstract— A protocol is required to transmit data successfully
over any network and also to manage the flow at which data is
transmitted. HDLC protocol is the high-level data link control
protocol established by International Organization for
Standardization (ISO), which is widely used in digital
communications. High-level Data Link Control (HDLC) is the most
commonly used Layer2 protocol and is suitable for bit oriented
packet transmission mode. This paper discusses the Verilog
modeling of single-channel HDLC Layer 2 protocol and its
implementation using Xilinx.
Keywords- High –Level Data link Control (HDLC), Frame Check
Sequence (FCS), and Cyclic Redundancy Check (CRC)
I. INTRODUCTION
HDLC protocol is the high-level data link control protocol
established by International Organization for
standardization (ISO), which is widely used in digital
communication and are the bases of many other data link
control protocols [2]. HDLC protocols are commonly
performed by ASIC (Application Specific Integrated Circuit)
devices, software programming and etc.
The objective of this paper is to design and implement a
single channel controller for the HDLC protocol which is the
most basic and prevalent Data Link layer synchronous, bit-
oriented protocol. The HDLC protocol (High –Level Data link
Control) is also important in that it forms the basis for
many other Data Link Control protocols, which use the
same or similar formats, and the same mechanisms as
employed in HDLC.
HDLC has been so widely implemented because it
supports both half duplex and full duplex communication
lines, point to point(peer to peer) and multi-point
networks[1]. The protocols outlined in HDLC are designed
to permit synchronous, code-transparent data transmission.
Other benefits of HDLC are that the control information is
always in the same position, and specific bit patterns used
for control differ dramatically from those in representing
data, which reduces the chance of errors.
II. HDLC PROTOCOL
The HDLC Protocol Controller is a high-performance
module for the bit-oriented packet transmission mode. It is
suitable for Frame Relay, X.25, ISDN B-Channel (64 Kbits/s)
and D-Channel (16 Kbits/s) The Data Interface is 8-bit wide,
synchronous and suitable for interfacing to transmit and
receive FIFOs. Information is packaged into an envelope,
called a FRAME [4]. An HDLC frame is structured as follows:
FLAG ADDRESS CONTROL INFORMATION FCS FLAG
8 bits 8 bits 8 /16 bits variable 8 8 bits
Table 1. HDLC Frame
A. Flag
Each Frame begins and ends with the Flag Sequence which
is a binary sequence 01111110. If a piece of data within the
frame to be transmitted contains a series of 5 or more 1’s,
the transmitting station must insert a 0 to distinguish this
set of 1’s in the data from the flags at the beginning and
end of the frame. This technique of inserting bits is called
bit-stuffing [3].
B. Address
Address field is of programmable size, a single octet or a
pair of octets. The field can contain the value programmed
into the transmit address register at the time the Frame is
started.
C. Control
HDLC uses the control field to determine how to control
the communications process. This field contains the
commands, responses and sequences numbers used to
maintain the data flow accountability of the link, defines
the functions of the frame and initiates the logic to control
the movement of traffic between sending and receiving
stations.
6
INTERNATIONAL CONFERENCE ON CURRENT INNOVATIONS IN ENGINEERING AND TECHNOLOGY
INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT
ISBN: 378 - 26 - 138420 - 5
www.iaetsd.in
D. Information or Data
This field is not always present in a HDLC frame. It is only
present when the Information Transfer Format is being
used in the control field. The information field contains the
actually data the sender is transmitting to the receiver.
E. FCS
The Frame Check Sequence field is 16 bits. The FCS is
transmitted least significant octet first which contains the
coefficient of the highest term in the generated check
polynomials. The FCS field is calculated over all bits of the
addresses, control, and data fields, not including any bits
inserted for the transparency. This also does not include
the flag sequence or the FCS field itself. The end of the data
field is found by locating the closing flag sequence and
removing the Frame Check Sequence field (receiver section)
[5].
III. HDLC MODULE DESIGN
In this design, HDLC procedures contain two modules, i.e.
encoding-and-sending module (Transmitter) and receiving-
and-decoding module (receiver). The function diagram is
shown as below.
Fig.1. HDLC Block Design
Form this diagram we know that, transmitter module includes
transmit register unit, address unit, FCS generation unit, zero
insertion unit, Flag generation unit, control and status
register unit and transmit frame timer and synchronization
logic unit. Receiver module includes receive register unit,
address detect unit, FCS calculator unit, zero detection unit,
flag detection unit, receive control and status register unit
and frame timer and synchronization logic unit.
A. Transmitter Module
The Transmit Data Interface provides a byte-wide
interface between the transmission host and the HDLC
Controller. The Transmit data is loaded into the controller
on the rising edge of Clock when the write strobe input is
asserted. The Start and End bytes of a transmitted HDLC
Frame are indicated by asserting the appropriate signals
with the same timing as the data byte.
The HDLC Controller will, on receipt of the first byte of a
new packet, issue the appropriate Flag Sequence and
transmit the Frame data calculating the FCS. When the last
byte of the Frame is seen the FCS is transmitted along with
a closing Flag. Extra zeros are inserted into the bit stream
to avoid transmission of control flag sequence within the
Frame data.
The Transmit Data is available on TxD pin with
appropriate to be sampled by Clk. If TxEN is de-asserted,
transmit is stalled, and TxD pin is disabled.
A transmit control register is provided which can enable
or disable the channel. In addition it is possible to force the
transmission of the HDLC Abort sequence. This will cause
the currently transmitted Frame to be discarded. The
transmit section can be configured to automatically restart
after an abort, with the next frame, or to remain stalled
until the host microprocessor clears the abort.
B. Receiver Module
The HDLC Controller Receiver accepts a bit stream on
port RxD. The data is latched on the rising edge of Clock
under the control of the Enable input RxEN. The Flag
Detection block searches the bit stream for the Flag
Sequence in order to determine the Frame boundary. Any
stuffed zeros are detected and remove and the FCS is
calculated and checked. Frame data is placed on the
Receive Data Interface and made available to the host. In
addition, Flag information is passed over indicating the
Start and the End byte of the HDLC Frame as well as
showing any error conditions which may have been
detected during receipt of the Frame.
In normal HDLC protocol mode, all Receiver Frames are
presented to the host on the output register. A status
register is provided which can be used to monitor status of
the Receiver Channel, and indicate if the packet currently
being received includes any errors.
7
INTERNATIONAL CONFERENCE ON CURRENT INNOVATIONS IN ENGINEERING AND TECHNOLOGY
INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT
ISBN: 378 - 26 - 138420 - 5
www.iaetsd.in
IV. RESULTS
Fig.2. Simulation Waveform
Device Utilization Report: Clock Frequency: 78.2 MHz
Resource Used Avail Utilization
IOs 60 180 33.33%
Function Generators 205 1536 13.35%
CLB Slices 103 768 13.41%
Dffs or Latches 108 1536 7.03%
Table 2. Synthesis Report
V. CONCLUSION
We designed HDLC protocol sending and receiving RTL
level modules in Verilog and had them tested successfully,
which has the following advantages like easy to program
and modify, suitable for different standards of HDLC
procedures, match with other chips with different interfaces.
So this proposed method can be more useful for many
applications like a Communication protocol link for
RADAR data processing.
REFERENCES
[1] “Implementation of HDLC protocol Using FPGA”, [IJESAT]
International Journal of Engineering Science & Advanced
Technology, ISSN: 2250-3676, Volume-2, Issue-4, 1122 –
1131.
[2] M.Sridevi, DrP.Sudhakar Reddy / International Journal of
Engineering Research and Applications (IJERA) ISSN: 2248-
9622 www.ijera.com Vol. 2, Issue 5, September- October
2012, pp.2217-2219.
[3] ISO/IEC 13239, “Information technology --
Telecommunications and Information exchange between
systems – High-level data link control (HDLC) procedures,”
International Organization for Standardization, pp 10-17, July
2002.
[4] A.Tannenbaum, “Computer Networks”, Prentice Hall of India,
1993.
[5] Mitel Semiconductor, “MT8952B HDLC Protocol Controller,”
Mitel Semiconductor Inc., pp 2-14, May 1997.
8
INTERNATIONAL CONFERENCE ON CURRENT INNOVATIONS IN ENGINEERING AND TECHNOLOGY
INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT
ISBN: 378 - 26 - 138420 - 5
www.iaetsd.in

More Related Content

What's hot

HDLC(high level data link control)
HDLC(high level data link control)HDLC(high level data link control)
HDLC(high level data link control)Anand Biradar
 
MCP2515: Stand-Alone CAN Controller
MCP2515: Stand-Alone CAN ControllerMCP2515: Stand-Alone CAN Controller
MCP2515: Stand-Alone CAN ControllerPremier Farnell
 
Fpga implementation of a multi channel hdlc
Fpga implementation of a multi channel hdlcFpga implementation of a multi channel hdlc
Fpga implementation of a multi channel hdlcnitin palan
 
How does the ue know when an eNB is talking to it
How does the ue know when an eNB is talking to itHow does the ue know when an eNB is talking to it
How does the ue know when an eNB is talking to italiirfan04
 
How to verify_your_lte_mac_rf_interactions_16_nov11
How to verify_your_lte_mac_rf_interactions_16_nov11How to verify_your_lte_mac_rf_interactions_16_nov11
How to verify_your_lte_mac_rf_interactions_16_nov11Kondi Naveen
 
Automotive bus technologies
Automotive bus technologiesAutomotive bus technologies
Automotive bus technologiesRadwa Tarek
 
Controller area network (can bus)
Controller area network (can bus)Controller area network (can bus)
Controller area network (can bus)nassim unused
 
Controller Area Network(CAN)
Controller Area Network(CAN)Controller Area Network(CAN)
Controller Area Network(CAN)Ashutosh Bhardwaj
 
Wishbone interface and bus cycles
Wishbone interface and bus cyclesWishbone interface and bus cycles
Wishbone interface and bus cyclesdennis gookyi
 
Automatic topology detection in NAV
Automatic topology detection in NAVAutomatic topology detection in NAV
Automatic topology detection in NAVMorten Brekkevold
 
IEEE 802.11a Physical Layer Simulation
IEEE 802.11a Physical Layer SimulationIEEE 802.11a Physical Layer Simulation
IEEE 802.11a Physical Layer SimulationMichail Grigoropoulos
 

What's hot (20)

Power Analysis of Embedded Low Latency Network on Chip
Power Analysis of Embedded Low Latency Network on ChipPower Analysis of Embedded Low Latency Network on Chip
Power Analysis of Embedded Low Latency Network on Chip
 
Pc ie tl_layer (3)
Pc ie tl_layer (3)Pc ie tl_layer (3)
Pc ie tl_layer (3)
 
HDLC(high level data link control)
HDLC(high level data link control)HDLC(high level data link control)
HDLC(high level data link control)
 
MCP2515: Stand-Alone CAN Controller
MCP2515: Stand-Alone CAN ControllerMCP2515: Stand-Alone CAN Controller
MCP2515: Stand-Alone CAN Controller
 
Fpga implementation of a multi channel hdlc
Fpga implementation of a multi channel hdlcFpga implementation of a multi channel hdlc
Fpga implementation of a multi channel hdlc
 
PCIe DL_layer_3.0.1 (1)
PCIe DL_layer_3.0.1 (1)PCIe DL_layer_3.0.1 (1)
PCIe DL_layer_3.0.1 (1)
 
How does the ue know when an eNB is talking to it
How does the ue know when an eNB is talking to itHow does the ue know when an eNB is talking to it
How does the ue know when an eNB is talking to it
 
How to verify_your_lte_mac_rf_interactions_16_nov11
How to verify_your_lte_mac_rf_interactions_16_nov11How to verify_your_lte_mac_rf_interactions_16_nov11
How to verify_your_lte_mac_rf_interactions_16_nov11
 
Automotive bus technologies
Automotive bus technologiesAutomotive bus technologies
Automotive bus technologies
 
Hdlc
HdlcHdlc
Hdlc
 
Data link control protocol(3)
Data link control protocol(3)Data link control protocol(3)
Data link control protocol(3)
 
Controller area network (can bus)
Controller area network (can bus)Controller area network (can bus)
Controller area network (can bus)
 
LTE Procedures
LTE ProceduresLTE Procedures
LTE Procedures
 
Controller Area Network(CAN)
Controller Area Network(CAN)Controller Area Network(CAN)
Controller Area Network(CAN)
 
Data Link Control
Data Link ControlData Link Control
Data Link Control
 
Wishbone interface and bus cycles
Wishbone interface and bus cyclesWishbone interface and bus cycles
Wishbone interface and bus cycles
 
An5006
An5006An5006
An5006
 
Automatic topology detection in NAV
Automatic topology detection in NAVAutomatic topology detection in NAV
Automatic topology detection in NAV
 
Umts 18 19
Umts 18 19Umts 18 19
Umts 18 19
 
IEEE 802.11a Physical Layer Simulation
IEEE 802.11a Physical Layer SimulationIEEE 802.11a Physical Layer Simulation
IEEE 802.11a Physical Layer Simulation
 

Viewers also liked

Memory map selection of real time sdram controller using verilog full project...
Memory map selection of real time sdram controller using verilog full project...Memory map selection of real time sdram controller using verilog full project...
Memory map selection of real time sdram controller using verilog full project...rahul kumar verma
 
A Cryptographic Hardware Revolution in Communication Systems using Verilog HDL
A Cryptographic Hardware Revolution in Communication Systems using Verilog HDLA Cryptographic Hardware Revolution in Communication Systems using Verilog HDL
A Cryptographic Hardware Revolution in Communication Systems using Verilog HDLidescitation
 
Implementation of Secured Car Parking Management System Using Verilog HDL
Implementation of Secured Car Parking Management System Using Verilog HDLImplementation of Secured Car Parking Management System Using Verilog HDL
Implementation of Secured Car Parking Management System Using Verilog HDLIJERA Editor
 
Verilog Implementation of an Efficient Multiplier Using Vedic Mathematics
Verilog Implementation of an Efficient Multiplier Using Vedic MathematicsVerilog Implementation of an Efficient Multiplier Using Vedic Mathematics
Verilog Implementation of an Efficient Multiplier Using Vedic MathematicsIJERA Editor
 
Design and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogDesign and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogSTEPHEN MOIRANGTHEM
 
EECS452EMGFinalProjectReportPDF
EECS452EMGFinalProjectReportPDFEECS452EMGFinalProjectReportPDF
EECS452EMGFinalProjectReportPDFAngie Zhang
 
Iaetsd key reconstruction and clustering opponent nodes in minimum cost
Iaetsd key reconstruction and clustering opponent nodes in minimum costIaetsd key reconstruction and clustering opponent nodes in minimum cost
Iaetsd key reconstruction and clustering opponent nodes in minimum costIaetsd Iaetsd
 
Iaetsd latent fingerprint recognition and matching
Iaetsd latent fingerprint recognition and matchingIaetsd latent fingerprint recognition and matching
Iaetsd latent fingerprint recognition and matchingIaetsd Iaetsd
 
Iaetsd fpga implementation of rf technology and biometric authentication
Iaetsd fpga implementation of rf technology and biometric authenticationIaetsd fpga implementation of rf technology and biometric authentication
Iaetsd fpga implementation of rf technology and biometric authenticationIaetsd Iaetsd
 
Iaetsd increasing network life span of manet by using
Iaetsd increasing network life span of manet by usingIaetsd increasing network life span of manet by using
Iaetsd increasing network life span of manet by usingIaetsd Iaetsd
 
La leyendadeladamadelmediodia
La leyendadeladamadelmediodiaLa leyendadeladamadelmediodia
La leyendadeladamadelmediodiaFJavierPG
 
Iaetsd modelling of one link flexible arm manipulator using
Iaetsd modelling of one link flexible arm manipulator usingIaetsd modelling of one link flexible arm manipulator using
Iaetsd modelling of one link flexible arm manipulator usingIaetsd Iaetsd
 
Iaetsd estimation of frequency for a single link-flexible
Iaetsd estimation of frequency for a single link-flexibleIaetsd estimation of frequency for a single link-flexible
Iaetsd estimation of frequency for a single link-flexibleIaetsd Iaetsd
 
Iaetsd eliminating hidden data from an image
Iaetsd eliminating hidden data from an imageIaetsd eliminating hidden data from an image
Iaetsd eliminating hidden data from an imageIaetsd Iaetsd
 
Iaetsd enhancement of face retrival desigend for
Iaetsd enhancement of face retrival desigend forIaetsd enhancement of face retrival desigend for
Iaetsd enhancement of face retrival desigend forIaetsd Iaetsd
 
Iaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embeddedIaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embeddedIaetsd Iaetsd
 
Iaetsd improved load balancing model based on
Iaetsd improved load balancing model based onIaetsd improved load balancing model based on
Iaetsd improved load balancing model based onIaetsd Iaetsd
 
Iaetsd fpga implementation of various security based tollgate system using anpr
Iaetsd fpga implementation of various security based tollgate system using anprIaetsd fpga implementation of various security based tollgate system using anpr
Iaetsd fpga implementation of various security based tollgate system using anprIaetsd Iaetsd
 

Viewers also liked (18)

Memory map selection of real time sdram controller using verilog full project...
Memory map selection of real time sdram controller using verilog full project...Memory map selection of real time sdram controller using verilog full project...
Memory map selection of real time sdram controller using verilog full project...
 
A Cryptographic Hardware Revolution in Communication Systems using Verilog HDL
A Cryptographic Hardware Revolution in Communication Systems using Verilog HDLA Cryptographic Hardware Revolution in Communication Systems using Verilog HDL
A Cryptographic Hardware Revolution in Communication Systems using Verilog HDL
 
Implementation of Secured Car Parking Management System Using Verilog HDL
Implementation of Secured Car Parking Management System Using Verilog HDLImplementation of Secured Car Parking Management System Using Verilog HDL
Implementation of Secured Car Parking Management System Using Verilog HDL
 
Verilog Implementation of an Efficient Multiplier Using Vedic Mathematics
Verilog Implementation of an Efficient Multiplier Using Vedic MathematicsVerilog Implementation of an Efficient Multiplier Using Vedic Mathematics
Verilog Implementation of an Efficient Multiplier Using Vedic Mathematics
 
Design and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilogDesign and implementation of 32 bit alu using verilog
Design and implementation of 32 bit alu using verilog
 
EECS452EMGFinalProjectReportPDF
EECS452EMGFinalProjectReportPDFEECS452EMGFinalProjectReportPDF
EECS452EMGFinalProjectReportPDF
 
Iaetsd key reconstruction and clustering opponent nodes in minimum cost
Iaetsd key reconstruction and clustering opponent nodes in minimum costIaetsd key reconstruction and clustering opponent nodes in minimum cost
Iaetsd key reconstruction and clustering opponent nodes in minimum cost
 
Iaetsd latent fingerprint recognition and matching
Iaetsd latent fingerprint recognition and matchingIaetsd latent fingerprint recognition and matching
Iaetsd latent fingerprint recognition and matching
 
Iaetsd fpga implementation of rf technology and biometric authentication
Iaetsd fpga implementation of rf technology and biometric authenticationIaetsd fpga implementation of rf technology and biometric authentication
Iaetsd fpga implementation of rf technology and biometric authentication
 
Iaetsd increasing network life span of manet by using
Iaetsd increasing network life span of manet by usingIaetsd increasing network life span of manet by using
Iaetsd increasing network life span of manet by using
 
La leyendadeladamadelmediodia
La leyendadeladamadelmediodiaLa leyendadeladamadelmediodia
La leyendadeladamadelmediodia
 
Iaetsd modelling of one link flexible arm manipulator using
Iaetsd modelling of one link flexible arm manipulator usingIaetsd modelling of one link flexible arm manipulator using
Iaetsd modelling of one link flexible arm manipulator using
 
Iaetsd estimation of frequency for a single link-flexible
Iaetsd estimation of frequency for a single link-flexibleIaetsd estimation of frequency for a single link-flexible
Iaetsd estimation of frequency for a single link-flexible
 
Iaetsd eliminating hidden data from an image
Iaetsd eliminating hidden data from an imageIaetsd eliminating hidden data from an image
Iaetsd eliminating hidden data from an image
 
Iaetsd enhancement of face retrival desigend for
Iaetsd enhancement of face retrival desigend forIaetsd enhancement of face retrival desigend for
Iaetsd enhancement of face retrival desigend for
 
Iaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embeddedIaetsd fpga implementation of fault tolerant embedded
Iaetsd fpga implementation of fault tolerant embedded
 
Iaetsd improved load balancing model based on
Iaetsd improved load balancing model based onIaetsd improved load balancing model based on
Iaetsd improved load balancing model based on
 
Iaetsd fpga implementation of various security based tollgate system using anpr
Iaetsd fpga implementation of various security based tollgate system using anprIaetsd fpga implementation of various security based tollgate system using anpr
Iaetsd fpga implementation of various security based tollgate system using anpr
 

Similar to Implementation of HDLC Protocol Using Verilog

Design and Implementation of HDLC Controller by Using Crc-16
Design and Implementation of HDLC Controller by Using Crc-16Design and Implementation of HDLC Controller by Using Crc-16
Design and Implementation of HDLC Controller by Using Crc-16IJMER
 
High speed Networking
High speed NetworkingHigh speed Networking
High speed Networkingsdb2002
 
Architectures of HDLC Controllers (A Study)
Architectures of HDLC Controllers (A Study)Architectures of HDLC Controllers (A Study)
Architectures of HDLC Controllers (A Study)VIT-AP University
 
Fpga implemented multichannel hdlc transceiver
Fpga implemented multichannel hdlc transceiverFpga implemented multichannel hdlc transceiver
Fpga implemented multichannel hdlc transceiveriaemedu
 
Design and implementation of hdlc procedures
Design and implementation of hdlc proceduresDesign and implementation of hdlc procedures
Design and implementation of hdlc proceduressaraswathi velpula
 
Computer networks high speed swan,atm,frame realy
Computer networks high speed swan,atm,frame realyComputer networks high speed swan,atm,frame realy
Computer networks high speed swan,atm,frame realyDeepak John
 
HDLC, PPP and SLIP
HDLC, PPP and SLIPHDLC, PPP and SLIP
HDLC, PPP and SLIPNaveen Kumar
 
Performance Evaluation & Design Methodologies for Automated 32 Bit CRC Checki...
Performance Evaluation & Design Methodologies for Automated 32 Bit CRC Checki...Performance Evaluation & Design Methodologies for Automated 32 Bit CRC Checki...
Performance Evaluation & Design Methodologies for Automated 32 Bit CRC Checki...VIT-AP University
 
Data link control & protocol concepts
Data link control & protocol conceptsData link control & protocol concepts
Data link control & protocol conceptsRaji Lakshmi
 
FPGA Implementation of LDPC Encoder for Terrestrial Television
FPGA Implementation of LDPC Encoder for Terrestrial TelevisionFPGA Implementation of LDPC Encoder for Terrestrial Television
FPGA Implementation of LDPC Encoder for Terrestrial TelevisionAI Publications
 
Computer Networks Unit 2 UNIT II DATA-LINK LAYER & MEDIA ACCESS
Computer Networks Unit 2 UNIT II DATA-LINK LAYER & MEDIA ACCESSComputer Networks Unit 2 UNIT II DATA-LINK LAYER & MEDIA ACCESS
Computer Networks Unit 2 UNIT II DATA-LINK LAYER & MEDIA ACCESSDr. SELVAGANESAN S
 
unit2-210710110327.pdf
unit2-210710110327.pdfunit2-210710110327.pdf
unit2-210710110327.pdfssuser3aa461
 
Basic Study on the WT12 Family of Bluetooth Devices
Basic Study on the WT12 Family of Bluetooth DevicesBasic Study on the WT12 Family of Bluetooth Devices
Basic Study on the WT12 Family of Bluetooth DevicesPremier Farnell
 
Radio resource management and mobiltiy mngmnt
Radio resource management and mobiltiy mngmntRadio resource management and mobiltiy mngmnt
Radio resource management and mobiltiy mngmntabidsyed4u
 
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...IJMER
 
Frame relay
Frame relay Frame relay
Frame relay balub4
 
Module 5 high speed swan,atm,transport layer
Module 5 high speed swan,atm,transport layerModule 5 high speed swan,atm,transport layer
Module 5 high speed swan,atm,transport layerDeepak John
 
Module 4 netwok layer,routing ,vlan,x.25doc
Module 4 netwok layer,routing ,vlan,x.25docModule 4 netwok layer,routing ,vlan,x.25doc
Module 4 netwok layer,routing ,vlan,x.25docDeepak John
 
REALIZATION OF TRANSMITTER AND RECEIVER ARCHITECTURE FOR DOWNLINK CHANNELS IN...
REALIZATION OF TRANSMITTER AND RECEIVER ARCHITECTURE FOR DOWNLINK CHANNELS IN...REALIZATION OF TRANSMITTER AND RECEIVER ARCHITECTURE FOR DOWNLINK CHANNELS IN...
REALIZATION OF TRANSMITTER AND RECEIVER ARCHITECTURE FOR DOWNLINK CHANNELS IN...VLSICS Design
 

Similar to Implementation of HDLC Protocol Using Verilog (20)

Design and Implementation of HDLC Controller by Using Crc-16
Design and Implementation of HDLC Controller by Using Crc-16Design and Implementation of HDLC Controller by Using Crc-16
Design and Implementation of HDLC Controller by Using Crc-16
 
High speed Networking
High speed NetworkingHigh speed Networking
High speed Networking
 
Architectures of HDLC Controllers (A Study)
Architectures of HDLC Controllers (A Study)Architectures of HDLC Controllers (A Study)
Architectures of HDLC Controllers (A Study)
 
Fpga implemented multichannel hdlc transceiver
Fpga implemented multichannel hdlc transceiverFpga implemented multichannel hdlc transceiver
Fpga implemented multichannel hdlc transceiver
 
Design and implementation of hdlc procedures
Design and implementation of hdlc proceduresDesign and implementation of hdlc procedures
Design and implementation of hdlc procedures
 
Computer networks high speed swan,atm,frame realy
Computer networks high speed swan,atm,frame realyComputer networks high speed swan,atm,frame realy
Computer networks high speed swan,atm,frame realy
 
HDLC, PPP and SLIP
HDLC, PPP and SLIPHDLC, PPP and SLIP
HDLC, PPP and SLIP
 
Performance Evaluation & Design Methodologies for Automated 32 Bit CRC Checki...
Performance Evaluation & Design Methodologies for Automated 32 Bit CRC Checki...Performance Evaluation & Design Methodologies for Automated 32 Bit CRC Checki...
Performance Evaluation & Design Methodologies for Automated 32 Bit CRC Checki...
 
Data link control & protocol concepts
Data link control & protocol conceptsData link control & protocol concepts
Data link control & protocol concepts
 
FPGA Implementation of LDPC Encoder for Terrestrial Television
FPGA Implementation of LDPC Encoder for Terrestrial TelevisionFPGA Implementation of LDPC Encoder for Terrestrial Television
FPGA Implementation of LDPC Encoder for Terrestrial Television
 
Frame relay
Frame relayFrame relay
Frame relay
 
Computer Networks Unit 2 UNIT II DATA-LINK LAYER & MEDIA ACCESS
Computer Networks Unit 2 UNIT II DATA-LINK LAYER & MEDIA ACCESSComputer Networks Unit 2 UNIT II DATA-LINK LAYER & MEDIA ACCESS
Computer Networks Unit 2 UNIT II DATA-LINK LAYER & MEDIA ACCESS
 
unit2-210710110327.pdf
unit2-210710110327.pdfunit2-210710110327.pdf
unit2-210710110327.pdf
 
Basic Study on the WT12 Family of Bluetooth Devices
Basic Study on the WT12 Family of Bluetooth DevicesBasic Study on the WT12 Family of Bluetooth Devices
Basic Study on the WT12 Family of Bluetooth Devices
 
Radio resource management and mobiltiy mngmnt
Radio resource management and mobiltiy mngmntRadio resource management and mobiltiy mngmnt
Radio resource management and mobiltiy mngmnt
 
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...    | IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
| IJMER | ISSN: 2249–6645 | www.ijmer.com | Vol. 4 | Iss. 4 | April 2014 ...
 
Frame relay
Frame relay Frame relay
Frame relay
 
Module 5 high speed swan,atm,transport layer
Module 5 high speed swan,atm,transport layerModule 5 high speed swan,atm,transport layer
Module 5 high speed swan,atm,transport layer
 
Module 4 netwok layer,routing ,vlan,x.25doc
Module 4 netwok layer,routing ,vlan,x.25docModule 4 netwok layer,routing ,vlan,x.25doc
Module 4 netwok layer,routing ,vlan,x.25doc
 
REALIZATION OF TRANSMITTER AND RECEIVER ARCHITECTURE FOR DOWNLINK CHANNELS IN...
REALIZATION OF TRANSMITTER AND RECEIVER ARCHITECTURE FOR DOWNLINK CHANNELS IN...REALIZATION OF TRANSMITTER AND RECEIVER ARCHITECTURE FOR DOWNLINK CHANNELS IN...
REALIZATION OF TRANSMITTER AND RECEIVER ARCHITECTURE FOR DOWNLINK CHANNELS IN...
 

More from Iaetsd Iaetsd

iaetsd Survey on cooperative relay based data transmission
iaetsd Survey on cooperative relay based data transmissioniaetsd Survey on cooperative relay based data transmission
iaetsd Survey on cooperative relay based data transmissionIaetsd Iaetsd
 
iaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdliaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdlIaetsd Iaetsd
 
iaetsd Health monitoring system with wireless alarm
iaetsd Health monitoring system with wireless alarmiaetsd Health monitoring system with wireless alarm
iaetsd Health monitoring system with wireless alarmIaetsd Iaetsd
 
iaetsd Equalizing channel and power based on cognitive radio system over mult...
iaetsd Equalizing channel and power based on cognitive radio system over mult...iaetsd Equalizing channel and power based on cognitive radio system over mult...
iaetsd Equalizing channel and power based on cognitive radio system over mult...Iaetsd Iaetsd
 
iaetsd Economic analysis and re design of driver’s car seat
iaetsd Economic analysis and re design of driver’s car seatiaetsd Economic analysis and re design of driver’s car seat
iaetsd Economic analysis and re design of driver’s car seatIaetsd Iaetsd
 
iaetsd Design of slotted microstrip patch antenna for wlan application
iaetsd Design of slotted microstrip patch antenna for wlan applicationiaetsd Design of slotted microstrip patch antenna for wlan application
iaetsd Design of slotted microstrip patch antenna for wlan applicationIaetsd Iaetsd
 
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBS
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBSREVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBS
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBSIaetsd Iaetsd
 
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...Iaetsd Iaetsd
 
Fabrication of dual power bike
Fabrication of dual power bikeFabrication of dual power bike
Fabrication of dual power bikeIaetsd Iaetsd
 
Blue brain technology
Blue brain technologyBlue brain technology
Blue brain technologyIaetsd Iaetsd
 
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...Iaetsd Iaetsd
 
iirdem Surveillance aided robotic bird
iirdem Surveillance aided robotic birdiirdem Surveillance aided robotic bird
iirdem Surveillance aided robotic birdIaetsd Iaetsd
 
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growth
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growthiirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growth
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid GrowthIaetsd Iaetsd
 
iirdem Design of Efficient Solar Energy Collector using MPPT Algorithm
iirdem Design of Efficient Solar Energy Collector using MPPT Algorithmiirdem Design of Efficient Solar Energy Collector using MPPT Algorithm
iirdem Design of Efficient Solar Energy Collector using MPPT AlgorithmIaetsd Iaetsd
 
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...Iaetsd Iaetsd
 
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...Iaetsd Iaetsd
 
iaetsd Shared authority based privacy preserving protocol
iaetsd Shared authority based privacy preserving protocoliaetsd Shared authority based privacy preserving protocol
iaetsd Shared authority based privacy preserving protocolIaetsd Iaetsd
 
iaetsd Secured multiple keyword ranked search over encrypted databases
iaetsd Secured multiple keyword ranked search over encrypted databasesiaetsd Secured multiple keyword ranked search over encrypted databases
iaetsd Secured multiple keyword ranked search over encrypted databasesIaetsd Iaetsd
 
iaetsd Robots in oil and gas refineries
iaetsd Robots in oil and gas refineriesiaetsd Robots in oil and gas refineries
iaetsd Robots in oil and gas refineriesIaetsd Iaetsd
 
iaetsd Modeling of solar steam engine system using parabolic
iaetsd Modeling of solar steam engine system using paraboliciaetsd Modeling of solar steam engine system using parabolic
iaetsd Modeling of solar steam engine system using parabolicIaetsd Iaetsd
 

More from Iaetsd Iaetsd (20)

iaetsd Survey on cooperative relay based data transmission
iaetsd Survey on cooperative relay based data transmissioniaetsd Survey on cooperative relay based data transmission
iaetsd Survey on cooperative relay based data transmission
 
iaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdliaetsd Software defined am transmitter using vhdl
iaetsd Software defined am transmitter using vhdl
 
iaetsd Health monitoring system with wireless alarm
iaetsd Health monitoring system with wireless alarmiaetsd Health monitoring system with wireless alarm
iaetsd Health monitoring system with wireless alarm
 
iaetsd Equalizing channel and power based on cognitive radio system over mult...
iaetsd Equalizing channel and power based on cognitive radio system over mult...iaetsd Equalizing channel and power based on cognitive radio system over mult...
iaetsd Equalizing channel and power based on cognitive radio system over mult...
 
iaetsd Economic analysis and re design of driver’s car seat
iaetsd Economic analysis and re design of driver’s car seatiaetsd Economic analysis and re design of driver’s car seat
iaetsd Economic analysis and re design of driver’s car seat
 
iaetsd Design of slotted microstrip patch antenna for wlan application
iaetsd Design of slotted microstrip patch antenna for wlan applicationiaetsd Design of slotted microstrip patch antenna for wlan application
iaetsd Design of slotted microstrip patch antenna for wlan application
 
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBS
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBSREVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBS
REVIEW PAPER- ON ENHANCEMENT OF HEAT TRANSFER USING RIBS
 
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...
A HYBRID AC/DC SOLAR POWERED STANDALONE SYSTEM WITHOUT INVERTER BASED ON LOAD...
 
Fabrication of dual power bike
Fabrication of dual power bikeFabrication of dual power bike
Fabrication of dual power bike
 
Blue brain technology
Blue brain technologyBlue brain technology
Blue brain technology
 
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...
iirdem The Livable Planet – A Revolutionary Concept through Innovative Street...
 
iirdem Surveillance aided robotic bird
iirdem Surveillance aided robotic birdiirdem Surveillance aided robotic bird
iirdem Surveillance aided robotic bird
 
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growth
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growthiirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growth
iirdem Growing India Time Monopoly – The Key to Initiate Long Term Rapid Growth
 
iirdem Design of Efficient Solar Energy Collector using MPPT Algorithm
iirdem Design of Efficient Solar Energy Collector using MPPT Algorithmiirdem Design of Efficient Solar Energy Collector using MPPT Algorithm
iirdem Design of Efficient Solar Energy Collector using MPPT Algorithm
 
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...
iirdem CRASH IMPACT ATTENUATOR (CIA) FOR AUTOMOBILES WITH THE ADVOCATION OF M...
 
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...
iirdem ADVANCING OF POWER MANAGEMENT IN HOME WITH SMART GRID TECHNOLOGY AND S...
 
iaetsd Shared authority based privacy preserving protocol
iaetsd Shared authority based privacy preserving protocoliaetsd Shared authority based privacy preserving protocol
iaetsd Shared authority based privacy preserving protocol
 
iaetsd Secured multiple keyword ranked search over encrypted databases
iaetsd Secured multiple keyword ranked search over encrypted databasesiaetsd Secured multiple keyword ranked search over encrypted databases
iaetsd Secured multiple keyword ranked search over encrypted databases
 
iaetsd Robots in oil and gas refineries
iaetsd Robots in oil and gas refineriesiaetsd Robots in oil and gas refineries
iaetsd Robots in oil and gas refineries
 
iaetsd Modeling of solar steam engine system using parabolic
iaetsd Modeling of solar steam engine system using paraboliciaetsd Modeling of solar steam engine system using parabolic
iaetsd Modeling of solar steam engine system using parabolic
 

Recently uploaded

power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and usesDevarapalliHaritha
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineeringmalavadedarshan25
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2RajaP95
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerAnamika Sarkar
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsCall Girls in Nagpur High Profile
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxPoojaBan
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024Mark Billinghurst
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCall Girls in Nagpur High Profile
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AIabhishek36461
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxDeepakSakkari2
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 

Recently uploaded (20)

power system scada applications and uses
power system scada applications and usespower system scada applications and uses
power system scada applications and uses
 
Internship report on mechanical engineering
Internship report on mechanical engineeringInternship report on mechanical engineering
Internship report on mechanical engineering
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2HARMONY IN THE HUMAN BEING - Unit-II UHV-2
HARMONY IN THE HUMAN BEING - Unit-II UHV-2
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube ExchangerStudy on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
Study on Air-Water & Water-Water Heat Exchange in a Finned Tube Exchanger
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Meera Call 7001035870 Meet With Nagpur Escorts
 
Heart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptxHeart Disease Prediction using machine learning.pptx
Heart Disease Prediction using machine learning.pptx
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024IVE Industry Focused Event - Defence Sector 2024
IVE Industry Focused Event - Defence Sector 2024
 
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service NashikCollege Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
College Call Girls Nashik Nehal 7001305949 Independent Escort Service Nashik
 
Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
Past, Present and Future of Generative AI
Past, Present and Future of Generative AIPast, Present and Future of Generative AI
Past, Present and Future of Generative AI
 
Biology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptxBiology for Computer Engineers Course Handout.pptx
Biology for Computer Engineers Course Handout.pptx
 
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCRCall Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
Call Us -/9953056974- Call Girls In Vikaspuri-/- Delhi NCR
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 

Implementation of HDLC Protocol Using Verilog

  • 1. Implementation of HDLC Protocol Using Verilog K. Durga Bhavani Dept. of ECE RGUKT-Basar Durga.ece06@gmail.com B. Venkanna Dept. of ECE RGUKT-Basar Venkanna.engineer@gmail.com K. Gayathri Dept. of ECE Intell Engg. College-Anatapur kgayathri.vijji@gmail.com Abstract— A protocol is required to transmit data successfully over any network and also to manage the flow at which data is transmitted. HDLC protocol is the high-level data link control protocol established by International Organization for Standardization (ISO), which is widely used in digital communications. High-level Data Link Control (HDLC) is the most commonly used Layer2 protocol and is suitable for bit oriented packet transmission mode. This paper discusses the Verilog modeling of single-channel HDLC Layer 2 protocol and its implementation using Xilinx. Keywords- High –Level Data link Control (HDLC), Frame Check Sequence (FCS), and Cyclic Redundancy Check (CRC) I. INTRODUCTION HDLC protocol is the high-level data link control protocol established by International Organization for standardization (ISO), which is widely used in digital communication and are the bases of many other data link control protocols [2]. HDLC protocols are commonly performed by ASIC (Application Specific Integrated Circuit) devices, software programming and etc. The objective of this paper is to design and implement a single channel controller for the HDLC protocol which is the most basic and prevalent Data Link layer synchronous, bit- oriented protocol. The HDLC protocol (High –Level Data link Control) is also important in that it forms the basis for many other Data Link Control protocols, which use the same or similar formats, and the same mechanisms as employed in HDLC. HDLC has been so widely implemented because it supports both half duplex and full duplex communication lines, point to point(peer to peer) and multi-point networks[1]. The protocols outlined in HDLC are designed to permit synchronous, code-transparent data transmission. Other benefits of HDLC are that the control information is always in the same position, and specific bit patterns used for control differ dramatically from those in representing data, which reduces the chance of errors. II. HDLC PROTOCOL The HDLC Protocol Controller is a high-performance module for the bit-oriented packet transmission mode. It is suitable for Frame Relay, X.25, ISDN B-Channel (64 Kbits/s) and D-Channel (16 Kbits/s) The Data Interface is 8-bit wide, synchronous and suitable for interfacing to transmit and receive FIFOs. Information is packaged into an envelope, called a FRAME [4]. An HDLC frame is structured as follows: FLAG ADDRESS CONTROL INFORMATION FCS FLAG 8 bits 8 bits 8 /16 bits variable 8 8 bits Table 1. HDLC Frame A. Flag Each Frame begins and ends with the Flag Sequence which is a binary sequence 01111110. If a piece of data within the frame to be transmitted contains a series of 5 or more 1’s, the transmitting station must insert a 0 to distinguish this set of 1’s in the data from the flags at the beginning and end of the frame. This technique of inserting bits is called bit-stuffing [3]. B. Address Address field is of programmable size, a single octet or a pair of octets. The field can contain the value programmed into the transmit address register at the time the Frame is started. C. Control HDLC uses the control field to determine how to control the communications process. This field contains the commands, responses and sequences numbers used to maintain the data flow accountability of the link, defines the functions of the frame and initiates the logic to control the movement of traffic between sending and receiving stations. 6 INTERNATIONAL CONFERENCE ON CURRENT INNOVATIONS IN ENGINEERING AND TECHNOLOGY INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT ISBN: 378 - 26 - 138420 - 5 www.iaetsd.in
  • 2. D. Information or Data This field is not always present in a HDLC frame. It is only present when the Information Transfer Format is being used in the control field. The information field contains the actually data the sender is transmitting to the receiver. E. FCS The Frame Check Sequence field is 16 bits. The FCS is transmitted least significant octet first which contains the coefficient of the highest term in the generated check polynomials. The FCS field is calculated over all bits of the addresses, control, and data fields, not including any bits inserted for the transparency. This also does not include the flag sequence or the FCS field itself. The end of the data field is found by locating the closing flag sequence and removing the Frame Check Sequence field (receiver section) [5]. III. HDLC MODULE DESIGN In this design, HDLC procedures contain two modules, i.e. encoding-and-sending module (Transmitter) and receiving- and-decoding module (receiver). The function diagram is shown as below. Fig.1. HDLC Block Design Form this diagram we know that, transmitter module includes transmit register unit, address unit, FCS generation unit, zero insertion unit, Flag generation unit, control and status register unit and transmit frame timer and synchronization logic unit. Receiver module includes receive register unit, address detect unit, FCS calculator unit, zero detection unit, flag detection unit, receive control and status register unit and frame timer and synchronization logic unit. A. Transmitter Module The Transmit Data Interface provides a byte-wide interface between the transmission host and the HDLC Controller. The Transmit data is loaded into the controller on the rising edge of Clock when the write strobe input is asserted. The Start and End bytes of a transmitted HDLC Frame are indicated by asserting the appropriate signals with the same timing as the data byte. The HDLC Controller will, on receipt of the first byte of a new packet, issue the appropriate Flag Sequence and transmit the Frame data calculating the FCS. When the last byte of the Frame is seen the FCS is transmitted along with a closing Flag. Extra zeros are inserted into the bit stream to avoid transmission of control flag sequence within the Frame data. The Transmit Data is available on TxD pin with appropriate to be sampled by Clk. If TxEN is de-asserted, transmit is stalled, and TxD pin is disabled. A transmit control register is provided which can enable or disable the channel. In addition it is possible to force the transmission of the HDLC Abort sequence. This will cause the currently transmitted Frame to be discarded. The transmit section can be configured to automatically restart after an abort, with the next frame, or to remain stalled until the host microprocessor clears the abort. B. Receiver Module The HDLC Controller Receiver accepts a bit stream on port RxD. The data is latched on the rising edge of Clock under the control of the Enable input RxEN. The Flag Detection block searches the bit stream for the Flag Sequence in order to determine the Frame boundary. Any stuffed zeros are detected and remove and the FCS is calculated and checked. Frame data is placed on the Receive Data Interface and made available to the host. In addition, Flag information is passed over indicating the Start and the End byte of the HDLC Frame as well as showing any error conditions which may have been detected during receipt of the Frame. In normal HDLC protocol mode, all Receiver Frames are presented to the host on the output register. A status register is provided which can be used to monitor status of the Receiver Channel, and indicate if the packet currently being received includes any errors. 7 INTERNATIONAL CONFERENCE ON CURRENT INNOVATIONS IN ENGINEERING AND TECHNOLOGY INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT ISBN: 378 - 26 - 138420 - 5 www.iaetsd.in
  • 3. IV. RESULTS Fig.2. Simulation Waveform Device Utilization Report: Clock Frequency: 78.2 MHz Resource Used Avail Utilization IOs 60 180 33.33% Function Generators 205 1536 13.35% CLB Slices 103 768 13.41% Dffs or Latches 108 1536 7.03% Table 2. Synthesis Report V. CONCLUSION We designed HDLC protocol sending and receiving RTL level modules in Verilog and had them tested successfully, which has the following advantages like easy to program and modify, suitable for different standards of HDLC procedures, match with other chips with different interfaces. So this proposed method can be more useful for many applications like a Communication protocol link for RADAR data processing. REFERENCES [1] “Implementation of HDLC protocol Using FPGA”, [IJESAT] International Journal of Engineering Science & Advanced Technology, ISSN: 2250-3676, Volume-2, Issue-4, 1122 – 1131. [2] M.Sridevi, DrP.Sudhakar Reddy / International Journal of Engineering Research and Applications (IJERA) ISSN: 2248- 9622 www.ijera.com Vol. 2, Issue 5, September- October 2012, pp.2217-2219. [3] ISO/IEC 13239, “Information technology -- Telecommunications and Information exchange between systems – High-level data link control (HDLC) procedures,” International Organization for Standardization, pp 10-17, July 2002. [4] A.Tannenbaum, “Computer Networks”, Prentice Hall of India, 1993. [5] Mitel Semiconductor, “MT8952B HDLC Protocol Controller,” Mitel Semiconductor Inc., pp 2-14, May 1997. 8 INTERNATIONAL CONFERENCE ON CURRENT INNOVATIONS IN ENGINEERING AND TECHNOLOGY INTERNATIONAL ASSOCIATION OF ENGINEERING & TECHNOLOGY FOR SKILL DEVELOPMENT ISBN: 378 - 26 - 138420 - 5 www.iaetsd.in