SlideShare a Scribd company logo
1 of 133
H igh  P erformance   P rocessors   and  S ystems   PdM – UIC joint master 2007 Instructor: Prof. Donatella Sciuto HPPS @ PdM – June 2007
General Outline ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
DRESD  in a Nutshell D ynamic  R econfigurability   in  E mbedded  S ystem  D esign DRESD @ PdM – June 2007
Outline ,[object Object],[object Object],[object Object],[object Object]
Motivations ,[object Object],[object Object],[object Object],[object Object],[object Object]
Reconfiguration ,[object Object],[object Object]
SoC Reconfiguration f i x Partial Total Embedded
Different Scenarios... Single Device Distributed System
What’s next ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
D ynamic  Re configurability  A pplied   to  M ulti-FPGA  S ystems
DReAMS ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Multi-FPGA Partitioning Alessandro Panella [email_address]
Outline ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Problem description ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Project goals and contributions ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Project phases ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
What is partitioning? ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Existing approaches - a glance ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Going deeper into the problem ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
SPartA: the framework ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
SPartA: the idea ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
SPartA: the algorithm  1/2 ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
SPartA: the algorithm  2/2 ,[object Object],[object Object],[object Object],[object Object]
Results  2/2 ,[object Object],[object Object],[object Object],ORIGINAL TREE PARTITIONED TREE
Results  3/3 ,[object Object],[object Object],[object Object],[object Object]
Results  3/3
Future work ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
The end ANY QUESTIONS?
What’s next ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Chimera Multi-FPGAs Architecture Definition Matteo Murgida [email_address]
Outline ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Problem Description ,[object Object],[object Object]
Project Goals ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
State of the Art ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Contributions ,[object Object],[object Object],[object Object],[object Object]
Project Phases ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Board Study ,[object Object],[object Object],[object Object]
Microblaze Communication ,[object Object],[object Object]
GPIO Insertion ,[object Object],[object Object]
Interrupt Controller Insertion ,[object Object],[object Object]
Timeout ,[object Object],[object Object],[object Object],[object Object]
Results ,[object Object]
Future Work ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Questions
What’s next ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
O perating  Sy stem support for  R econf i gurable  S oC
Development of an OS architecture-independent layer for dynamic reconfiguration Ivan Beretta [email_address]
Outline ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Problem description ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Project Goal ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
State of the Art ,[object Object],[object Object],[object Object],[object Object]
State of the Art (cont’d) ,[object Object],[object Object],[object Object],[object Object]
Contributions ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Phases ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
First Phase: Layer definition ,[object Object],[object Object],[object Object],Legend: ● = Both hardware and software ● = Hardware independent Feature Caronte Solution YaRA Solution Reconfiguration controller support ICAP device driver Reconfiguration Controller Driver Dynamic address space assignment IPCM Module MAC module Dynamic device registration and driver loading IPCM Module LOL module API Direct interaction with modules Reconfiguration library Module management (caching, placement...) Not implemented ROTFL architecture
Second Phase: Implementation Recovery ,[object Object],16 MB Flash 0xe4000000 0xe42FFFFF ... ... 0xe4F00000 0xe4F80000 64 MB DDR SDRAM 0x00000000 ... ... 0xe4FFFFFF 0x03FFFFFF 0x00800000 ... BRAM PowerPC FPGA Bootloader Bootmanager Kernel and RAMDisk Image 1 2 3 4 5 6
Second Phase: Implementation Recovery (cont’d) ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Third Phase: Architecture generation ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Results: Implementation Recovery ,[object Object]
Results: Implementation Recovery ,[object Object],[object Object],[object Object],[object Object],[object Object],Xilinx ISE/EDK 7.1 Xilinx ISE/EDK 9.1 Resource Used Available % Used Available % Slices 4926 4928 99% 5318 4928 107% Flip-Flops 5217 9856 52% 5724 9856 58% 4-in LUTs 6974 9856 70% 6993 9856 70%
What’s next ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Questions
What’s next ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Design FLow Antonio Piazzi [email_address]
Outline ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Problem description ,[object Object],[object Object]
Project Goals ,[object Object],[object Object],[object Object],[object Object],[object Object]
Contributions ,[object Object]
Phases ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
First Phase ,[object Object],[object Object],[object Object],[object Object],[object Object]
Sel f Reconfigurable Architecture
Second Phase  1/4 ,[object Object],User has to focus his attention only on the develop of the IBM core-connect architecture and on writing modules which implement his functionality SYSTEM.VHD contains all information about the IBM core-connect architecture
Second Phase  2/4 ArchGen take the system.vhd file and process the contained architecture and translate that static architecture in a dynamic one FIX.VHD contains the instantiations of the processors (one or more) and all the components presented in the IBM core-connect architecture TOP.VHD contains the instantiations of the fix component and the information about the communication infrastructure
Second Phase  3 /4 COMiC generate an NCD file which contains the information about the communication infrastructure and an XDL file which contains the same information in text mode
Second Phase  4/ 4 At this point we have only to collect all the information we need and so, through a parser we insert those into a new top.vhd which will be our fix part of the architecture, at this point we have only to manage the reconfigurable modules written by the user
Third Phase  1/3 An OPB bus based on 3-state buffer used to link one or more modules to the fix part (created with ISE) Definition of a new communication infrastructure and transfer protocol for the reconfigurable part
Third Phase  2/3 Use ncd2xdl converter to obtain an xdl file which contains all parameters of our bus
Third Phase  3/3 Perfect integration in our process, we can use all bus type to connect fix and reconfigurable part Verify the integration of the new infrastructure in the project
Results ,[object Object]
What’s next ,[object Object]
Questions?
What’s next ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Polaris
Polaris ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Management of 2D Reconfiguration in a Reconfigurable System Massimo Morandi [email_address]
Outline ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Problem Description ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Project Goals and Contributions ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Project Phases ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Setting and Advantages Definition ,[object Object],[object Object],[object Object],[object Object]
2D Fragmentation Problem ,[object Object],[object Object],[object Object]
Placement Decisions ,[object Object],[object Object]
Allocation manager ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Most relevant works ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Evaluation and Proposed Approach ,[object Object],[object Object],[object Object],[object Object],[object Object]
Structure of the allocation manager ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
The Placement Algorithm
Experimental Results ,[object Object],[object Object],[object Object],[object Object],[object Object]
Future Work ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Questions?
What’s next ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Relocation for 2D Reconfigurable Systems Marco Novati [email_address]
Outline ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Problem   Description ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Project Goals ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Phases ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Frame Addressing ,[object Object],[object Object]
New Parser
CRC Calculation ,[object Object],[object Object],[object Object],[object Object],[object Object]
Synthesis results ,[object Object],[object Object],[object Object]
Target Device
Validation Architecture
Results  1/2 ,[object Object],[object Object],[object Object],[object Object],[object Object]
Results  2/2 ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
What’s Next ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Questions
What’s next ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
H igh  L evel  R econfiguration Marco Maggioni marco.maggioni @dresd.org
Outline ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Problem Description ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Project Goal ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
State of Art ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Contribution ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
HLR workflow ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],Gcc Frontend Partitioning Algorithm PandA Scheduling Algorithm Clustered Graph Metric Evaluation Reconfigurable Clustered Graph Area Latency Rec. Time Power Target  Architecture Database
GraphGen ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
IsomorphClustering ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
SimpleLatency ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Salomone ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Results  1/3 ,[object Object],[object Object],[object Object]
Results  2/3 ,[object Object],[object Object]
Results  3/3 ,[object Object]
What's next ,[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object],[object Object]
Questions

More Related Content

What's hot

International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
ijceronline
 
Table of Contents
Table of ContentsTable of Contents
Table of Contents
butest
 
Convolutional Neural Network and Feature Transformation for Distant Speech Re...
Convolutional Neural Network and Feature Transformation for Distant Speech Re...Convolutional Neural Network and Feature Transformation for Distant Speech Re...
Convolutional Neural Network and Feature Transformation for Distant Speech Re...
IJECEIAES
 
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGALOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
VLSICS Design
 
Artificial Neural Network Implementation on FPGA – a Modular Approach
Artificial Neural Network Implementation on FPGA – a Modular ApproachArtificial Neural Network Implementation on FPGA – a Modular Approach
Artificial Neural Network Implementation on FPGA – a Modular Approach
Roee Levy
 
Animations On PDF Using Lua and LaTeX
Animations On PDF Using Lua and LaTeXAnimations On PDF Using Lua and LaTeX
Animations On PDF Using Lua and LaTeX
Mukund Muralikrishnan
 

What's hot (19)

3DD 1e 31 Luglio Apertura
3DD 1e 31 Luglio Apertura3DD 1e 31 Luglio Apertura
3DD 1e 31 Luglio Apertura
 
International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER) International Journal of Computational Engineering Research(IJCER)
International Journal of Computational Engineering Research(IJCER)
 
DRESD In a Nutshell July07
DRESD In a Nutshell July07DRESD In a Nutshell July07
DRESD In a Nutshell July07
 
SoC-2012-pres-2
SoC-2012-pres-2SoC-2012-pres-2
SoC-2012-pres-2
 
FPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT AlgorithmFPGA based Efficient Interpolator design using DALUT Algorithm
FPGA based Efficient Interpolator design using DALUT Algorithm
 
Table of Contents
Table of ContentsTable of Contents
Table of Contents
 
Convolutional Neural Network and Feature Transformation for Distant Speech Re...
Convolutional Neural Network and Feature Transformation for Distant Speech Re...Convolutional Neural Network and Feature Transformation for Distant Speech Re...
Convolutional Neural Network and Feature Transformation for Distant Speech Re...
 
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGALOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
LOGIC OPTIMIZATION USING TECHNOLOGY INDEPENDENT MUX BASED ADDERS IN FPGA
 
AI On the Edge: Model Compression
AI On the Edge: Model CompressionAI On the Edge: Model Compression
AI On the Edge: Model Compression
 
HARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODER
HARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODERHARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODER
HARDWARE SOFTWARE CO-SIMULATION OF MOTION ESTIMATION IN H.264 ENCODER
 
TEST-COST-SENSITIVE CONVOLUTIONAL NEURAL NETWORKS WITH EXPERT BRANCHES
TEST-COST-SENSITIVE CONVOLUTIONAL NEURAL NETWORKS WITH EXPERT BRANCHESTEST-COST-SENSITIVE CONVOLUTIONAL NEURAL NETWORKS WITH EXPERT BRANCHES
TEST-COST-SENSITIVE CONVOLUTIONAL NEURAL NETWORKS WITH EXPERT BRANCHES
 
Robustness of compressed CNNs
Robustness of compressed CNNsRobustness of compressed CNNs
Robustness of compressed CNNs
 
Artificial Neural Network Implementation on FPGA – a Modular Approach
Artificial Neural Network Implementation on FPGA – a Modular ApproachArtificial Neural Network Implementation on FPGA – a Modular Approach
Artificial Neural Network Implementation on FPGA – a Modular Approach
 
FPGA based Data Scrambler for Ultra-Wideband Communication Systems
FPGA based Data Scrambler for Ultra-Wideband Communication SystemsFPGA based Data Scrambler for Ultra-Wideband Communication Systems
FPGA based Data Scrambler for Ultra-Wideband Communication Systems
 
Transfer learning with LTANN-MEM & NSA for solving multi-objective symbolic r...
Transfer learning with LTANN-MEM & NSA for solving multi-objective symbolic r...Transfer learning with LTANN-MEM & NSA for solving multi-objective symbolic r...
Transfer learning with LTANN-MEM & NSA for solving multi-objective symbolic r...
 
Ramac
RamacRamac
Ramac
 
Animations On PDF Using Lua and LaTeX
Animations On PDF Using Lua and LaTeXAnimations On PDF Using Lua and LaTeX
Animations On PDF Using Lua and LaTeX
 
3D-DRESD Alberto Gallini
3D-DRESD Alberto Gallini3D-DRESD Alberto Gallini
3D-DRESD Alberto Gallini
 
deeplearning
deeplearningdeeplearning
deeplearning
 

Viewers also liked (8)

블루오션전략과 창조경영
블루오션전략과 창조경영블루오션전략과 창조경영
블루오션전략과 창조경영
 
Uic Montone Thesis
Uic Montone ThesisUic Montone Thesis
Uic Montone Thesis
 
Basic Concepts
Basic ConceptsBasic Concepts
Basic Concepts
 
3rd 3DDRESD: BiRF
3rd 3DDRESD: BiRF3rd 3DDRESD: BiRF
3rd 3DDRESD: BiRF
 
RCIM 2008 - HLR
RCIM 2008 - HLRRCIM 2008 - HLR
RCIM 2008 - HLR
 
3rd 3DDRESD: Floorplacer
3rd 3DDRESD: Floorplacer3rd 3DDRESD: Floorplacer
3rd 3DDRESD: Floorplacer
 
RCIM 2008 - - UniCal
RCIM 2008 - - UniCalRCIM 2008 - - UniCal
RCIM 2008 - - UniCal
 
RCIM 2008 - - hArtes Atmel
RCIM 2008 - - hArtes AtmelRCIM 2008 - - hArtes Atmel
RCIM 2008 - - hArtes Atmel
 

Similar to HPPS - Final - 06/14/2007

A Survey of Recent Advances in Network Planning/Traffic Engineering (TE) Tools
A Survey of Recent Advances in Network Planning/Traffic Engineering (TE) ToolsA Survey of Recent Advances in Network Planning/Traffic Engineering (TE) Tools
A Survey of Recent Advances in Network Planning/Traffic Engineering (TE) Tools
Vishal Sharma, Ph.D.
 
DESIGN APPROACH FOR FAULT TOLERANCE IN FPGA ARCHITECTURE
DESIGN APPROACH FOR FAULT TOLERANCE IN FPGA ARCHITECTUREDESIGN APPROACH FOR FAULT TOLERANCE IN FPGA ARCHITECTURE
DESIGN APPROACH FOR FAULT TOLERANCE IN FPGA ARCHITECTURE
VLSICS Design
 
Standardising the compressed representation of neural networks
Standardising the compressed representation of neural networksStandardising the compressed representation of neural networks
Standardising the compressed representation of neural networks
Förderverein Technische Fakultät
 
Network Planning & Design: An Art or a Science?
Network Planning & Design: An Art or a Science?Network Planning & Design: An Art or a Science?
Network Planning & Design: An Art or a Science?
Vishal Sharma, Ph.D.
 

Similar to HPPS - Final - 06/14/2007 (20)

3D-DRESD DReAMS
3D-DRESD DReAMS3D-DRESD DReAMS
3D-DRESD DReAMS
 
A Survey of Recent Advances in Network Planning/Traffic Engineering (TE) Tools
A Survey of Recent Advances in Network Planning/Traffic Engineering (TE) ToolsA Survey of Recent Advances in Network Planning/Traffic Engineering (TE) Tools
A Survey of Recent Advances in Network Planning/Traffic Engineering (TE) Tools
 
UIC Thesis Cancare
UIC Thesis CancareUIC Thesis Cancare
UIC Thesis Cancare
 
HPPS 2008 - Maesani Moro
HPPS 2008 - Maesani MoroHPPS 2008 - Maesani Moro
HPPS 2008 - Maesani Moro
 
Embedded system
Embedded systemEmbedded system
Embedded system
 
3rd 3DDRESD: DReAMS
3rd 3DDRESD: DReAMS3rd 3DDRESD: DReAMS
3rd 3DDRESD: DReAMS
 
The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...The CAOS framework: Democratize the acceleration of compute intensive applica...
The CAOS framework: Democratize the acceleration of compute intensive applica...
 
Optimization of Latency of Temporal Key Integrity Protocol (TKIP) Using Graph...
Optimization of Latency of Temporal Key Integrity Protocol (TKIP) Using Graph...Optimization of Latency of Temporal Key Integrity Protocol (TKIP) Using Graph...
Optimization of Latency of Temporal Key Integrity Protocol (TKIP) Using Graph...
 
Optimization of latency of temporal key Integrity protocol (tkip) using graph...
Optimization of latency of temporal key Integrity protocol (tkip) using graph...Optimization of latency of temporal key Integrity protocol (tkip) using graph...
Optimization of latency of temporal key Integrity protocol (tkip) using graph...
 
Thesis Giani UIC Slides EN
Thesis Giani UIC Slides ENThesis Giani UIC Slides EN
Thesis Giani UIC Slides EN
 
UIC Thesis Beretta
UIC Thesis BerettaUIC Thesis Beretta
UIC Thesis Beretta
 
UIC Thesis Morandi
UIC Thesis MorandiUIC Thesis Morandi
UIC Thesis Morandi
 
UIC Thesis Candiloro
UIC Thesis CandiloroUIC Thesis Candiloro
UIC Thesis Candiloro
 
Parallex - The Supercomputer
Parallex - The SupercomputerParallex - The Supercomputer
Parallex - The Supercomputer
 
DESIGN APPROACH FOR FAULT TOLERANCE IN FPGA ARCHITECTURE
DESIGN APPROACH FOR FAULT TOLERANCE IN FPGA ARCHITECTUREDESIGN APPROACH FOR FAULT TOLERANCE IN FPGA ARCHITECTURE
DESIGN APPROACH FOR FAULT TOLERANCE IN FPGA ARCHITECTURE
 
Standardising the compressed representation of neural networks
Standardising the compressed representation of neural networksStandardising the compressed representation of neural networks
Standardising the compressed representation of neural networks
 
PID2143641
PID2143641PID2143641
PID2143641
 
Detailed Simulation of Large-Scale Wireless Networks
Detailed Simulation of Large-Scale Wireless NetworksDetailed Simulation of Large-Scale Wireless Networks
Detailed Simulation of Large-Scale Wireless Networks
 
Network Planning & Design: An Art or a Science?
Network Planning & Design: An Art or a Science?Network Planning & Design: An Art or a Science?
Network Planning & Design: An Art or a Science?
 
UIC Panella Thesis
UIC Panella ThesisUIC Panella Thesis
UIC Panella Thesis
 

More from Marco Santambrogio (20)

RCIM 2008 - - ALTERA
RCIM 2008 - - ALTERARCIM 2008 - - ALTERA
RCIM 2008 - - ALTERA
 
DHow2 - L6 VHDL
DHow2 - L6 VHDLDHow2 - L6 VHDL
DHow2 - L6 VHDL
 
DHow2 - L6 Ant
DHow2 - L6 AntDHow2 - L6 Ant
DHow2 - L6 Ant
 
DHow2 - L5
DHow2 - L5DHow2 - L5
DHow2 - L5
 
RCIM 2008 - - ALaRI
RCIM 2008 - - ALaRIRCIM 2008 - - ALaRI
RCIM 2008 - - ALaRI
 
RCIM 2008 - Modello Scheduling
RCIM 2008 - Modello SchedulingRCIM 2008 - Modello Scheduling
RCIM 2008 - Modello Scheduling
 
RCIM 2008 -- EHW
RCIM 2008 -- EHWRCIM 2008 -- EHW
RCIM 2008 -- EHW
 
RCIM 2008 - Modello Generale
RCIM 2008 - Modello GeneraleRCIM 2008 - Modello Generale
RCIM 2008 - Modello Generale
 
RCIM 2008 - Allocation Relocation
RCIM 2008 - Allocation RelocationRCIM 2008 - Allocation Relocation
RCIM 2008 - Allocation Relocation
 
RCIM 2008 - - hArtes_Ferrara
RCIM 2008 - - hArtes_FerraraRCIM 2008 - - hArtes_Ferrara
RCIM 2008 - - hArtes_Ferrara
 
RCIM 2008 - Janus
RCIM 2008 - JanusRCIM 2008 - Janus
RCIM 2008 - Janus
 
RCIM 2008 - Intro
RCIM 2008 - IntroRCIM 2008 - Intro
RCIM 2008 - Intro
 
DHow2 - L2
DHow2 - L2DHow2 - L2
DHow2 - L2
 
DHow2 - L4
DHow2 - L4DHow2 - L4
DHow2 - L4
 
DHow2 - L1
DHow2 - L1DHow2 - L1
DHow2 - L1
 
RCW@DEI - Treasure hunt
RCW@DEI - Treasure huntRCW@DEI - Treasure hunt
RCW@DEI - Treasure hunt
 
RCW@DEI - ADL
RCW@DEI - ADLRCW@DEI - ADL
RCW@DEI - ADL
 
RCW@DEI - Design Flow 4 SoPc
RCW@DEI - Design Flow 4 SoPcRCW@DEI - Design Flow 4 SoPc
RCW@DEI - Design Flow 4 SoPc
 
RCW@DEI - Real Needs And Limits
RCW@DEI - Real Needs And LimitsRCW@DEI - Real Needs And Limits
RCW@DEI - Real Needs And Limits
 
RCW@DEI - Basic Concepts
RCW@DEI - Basic ConceptsRCW@DEI - Basic Concepts
RCW@DEI - Basic Concepts
 

Recently uploaded

Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
Joaquim Jorge
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
Enterprise Knowledge
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
giselly40
 

Recently uploaded (20)

Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
Bajaj Allianz Life Insurance Company - Insurer Innovation Award 2024
 
What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?What Are The Drone Anti-jamming Systems Technology?
What Are The Drone Anti-jamming Systems Technology?
 
Boost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivityBoost PC performance: How more available memory can improve productivity
Boost PC performance: How more available memory can improve productivity
 
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdfUnderstanding Discord NSFW Servers A Guide for Responsible Users.pdf
Understanding Discord NSFW Servers A Guide for Responsible Users.pdf
 
[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf[2024]Digital Global Overview Report 2024 Meltwater.pdf
[2024]Digital Global Overview Report 2024 Meltwater.pdf
 
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemkeProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
ProductAnonymous-April2024-WinProductDiscovery-MelissaKlemke
 
Data Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt RobisonData Cloud, More than a CDP by Matt Robison
Data Cloud, More than a CDP by Matt Robison
 
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
Strategies for Unlocking Knowledge Management in Microsoft 365 in the Copilot...
 
Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024Partners Life - Insurer Innovation Award 2024
Partners Life - Insurer Innovation Award 2024
 
Artificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and MythsArtificial Intelligence: Facts and Myths
Artificial Intelligence: Facts and Myths
 
Exploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone ProcessorsExploring the Future Potential of AI-Enabled Smartphone Processors
Exploring the Future Potential of AI-Enabled Smartphone Processors
 
Handwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed textsHandwritten Text Recognition for manuscripts and early printed texts
Handwritten Text Recognition for manuscripts and early printed texts
 
IAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI SolutionsIAC 2024 - IA Fast Track to Search Focused AI Solutions
IAC 2024 - IA Fast Track to Search Focused AI Solutions
 
CNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of ServiceCNv6 Instructor Chapter 6 Quality of Service
CNv6 Instructor Chapter 6 Quality of Service
 
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
Mastering MySQL Database Architecture: Deep Dive into MySQL Shell and MySQL R...
 
presentation ICT roal in 21st century education
presentation ICT roal in 21st century educationpresentation ICT roal in 21st century education
presentation ICT roal in 21st century education
 
Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024Axa Assurance Maroc - Insurer Innovation Award 2024
Axa Assurance Maroc - Insurer Innovation Award 2024
 
🐬 The future of MySQL is Postgres 🐘
🐬  The future of MySQL is Postgres   🐘🐬  The future of MySQL is Postgres   🐘
🐬 The future of MySQL is Postgres 🐘
 
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...Workshop - Best of Both Worlds_ Combine  KG and Vector search for  enhanced R...
Workshop - Best of Both Worlds_ Combine KG and Vector search for enhanced R...
 
Automating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps ScriptAutomating Google Workspace (GWS) & more with Apps Script
Automating Google Workspace (GWS) & more with Apps Script
 

HPPS - Final - 06/14/2007

  • 1. H igh P erformance P rocessors and S ystems PdM – UIC joint master 2007 Instructor: Prof. Donatella Sciuto HPPS @ PdM – June 2007
  • 2.
  • 3. DRESD in a Nutshell D ynamic R econfigurability in E mbedded S ystem D esign DRESD @ PdM – June 2007
  • 4.
  • 5.
  • 6.
  • 7. SoC Reconfiguration f i x Partial Total Embedded
  • 8. Different Scenarios... Single Device Distributed System
  • 9.
  • 10. D ynamic Re configurability A pplied to M ulti-FPGA S ystems
  • 11.
  • 12. Multi-FPGA Partitioning Alessandro Panella [email_address]
  • 13.
  • 14.
  • 15.
  • 16.
  • 17.
  • 18.
  • 19.
  • 20.
  • 21.
  • 22.
  • 23.
  • 24.
  • 25.
  • 27.
  • 28. The end ANY QUESTIONS?
  • 29.
  • 30. Chimera Multi-FPGAs Architecture Definition Matteo Murgida [email_address]
  • 31.
  • 32.
  • 33.
  • 34.
  • 35.
  • 36.
  • 37.
  • 38.
  • 39.
  • 40.
  • 41.
  • 42.
  • 43.
  • 45.
  • 46. O perating Sy stem support for R econf i gurable S oC
  • 47. Development of an OS architecture-independent layer for dynamic reconfiguration Ivan Beretta [email_address]
  • 48.
  • 49.
  • 50.
  • 51.
  • 52.
  • 53.
  • 54.
  • 55.
  • 56.
  • 57.
  • 58.
  • 59.
  • 60.
  • 61.
  • 63.
  • 64. Design FLow Antonio Piazzi [email_address]
  • 65.
  • 66.
  • 67.
  • 68.
  • 69.
  • 70.
  • 71. Sel f Reconfigurable Architecture
  • 72.
  • 73. Second Phase 2/4 ArchGen take the system.vhd file and process the contained architecture and translate that static architecture in a dynamic one FIX.VHD contains the instantiations of the processors (one or more) and all the components presented in the IBM core-connect architecture TOP.VHD contains the instantiations of the fix component and the information about the communication infrastructure
  • 74. Second Phase 3 /4 COMiC generate an NCD file which contains the information about the communication infrastructure and an XDL file which contains the same information in text mode
  • 75. Second Phase 4/ 4 At this point we have only to collect all the information we need and so, through a parser we insert those into a new top.vhd which will be our fix part of the architecture, at this point we have only to manage the reconfigurable modules written by the user
  • 76. Third Phase 1/3 An OPB bus based on 3-state buffer used to link one or more modules to the fix part (created with ISE) Definition of a new communication infrastructure and transfer protocol for the reconfigurable part
  • 77. Third Phase 2/3 Use ncd2xdl converter to obtain an xdl file which contains all parameters of our bus
  • 78. Third Phase 3/3 Perfect integration in our process, we can use all bus type to connect fix and reconfigurable part Verify the integration of the new infrastructure in the project
  • 79.
  • 80.
  • 82.
  • 84.
  • 85. Management of 2D Reconfiguration in a Reconfigurable System Massimo Morandi [email_address]
  • 86.
  • 87.
  • 88.
  • 89.
  • 90.
  • 91.
  • 92.
  • 93.
  • 94.
  • 95.
  • 96.
  • 98.
  • 99.
  • 101.
  • 102. Relocation for 2D Reconfigurable Systems Marco Novati [email_address]
  • 103.
  • 104.
  • 105.
  • 106.
  • 107.
  • 109.
  • 110.
  • 113.
  • 114.
  • 115.
  • 117.
  • 118. H igh L evel R econfiguration Marco Maggioni marco.maggioni @dresd.org
  • 119.
  • 120.
  • 121.
  • 122.
  • 123.
  • 124.
  • 125.
  • 126.
  • 127.
  • 128.
  • 129.
  • 130.
  • 131.
  • 132.