SlideShare a Scribd company logo
Tallinn Technical University :: May 4th 2009 This presentation is available at  http://www.slideshare.net/josemmf Tallinn Technical University :: May 5th 2009 This presentation is available at  http://www.slideshare.net/josemmf On using BS to improve the reliability and availability of reconfigurable hardware J. M. Martins Ferreira  [ jmf@fe.up.pt ] FEUP / DEEC - Rua Dr. Roberto Frias 4200-537 Porto - PORTUGAL M. G. Gericota, G. R. Alves, M. Silva, J. M. Ferreira, “Reliability and Avaliability in Reconfigurable Computing: A Basis for a Common Solution,”  IEEE Transactions on VLSI Systems ,  Vol. 16, No. 11, pp. 1545-1558 , Nov. 2008.
Outline of this talk ,[object Object],[object Object],[object Object],[object Object],[object Object]
[object Object],[object Object],Introduction
Motivation:  An old problem becomes more important ,[object Object],[object Object],[object Object],[object Object]
Motivation: An old problem becomes more important
Causes of failure in FPGAs ,[object Object],[object Object],[object Object]
[object Object],[object Object],[object Object],Concurrent replication of active CLBs
Concurrent replication of CLBs: The principle ,[object Object],functional block in another area, (non-intrusively), and making the original resources available for test
Concurrent replication of CLBs: The principle ,[object Object],[object Object],[object Object],[object Object],CLB IOB
Concurrent replication of CLBs: How it works ,[object Object],[object Object]
Concurrent replication of CLBs: How it works ,[object Object],[object Object]
Concurrent replication of CLBs: Replication aid block ,[object Object]
Replication flow: Time & space needed 1  2  3  4  5  6  7  8  9  1  2  3  4  5  6  7  8  9  22,444 26 094 Total 3,438 3 986 Disconnect the original CLB inputs 1,146 1 333 Disconnect the original CLB outputs  3,550 4 129 Place the CLB outputs in parallel 1,906 2 217 Disconnect all the auxiliary relocation circuit signals 1,844 2 145 Connect the clock enable inputs of both CLBs 0,238 277 BY_C=0 0,238 277 CC=0 0,379 441 BY_C=1 & CC=1 9,705 11 289 Copy the internal logic functionality and place the input signals in parallel Time (ms) No. of bytes Steps
[object Object],[object Object],[object Object],[object Object],On-line structural concurrent test
Fault model and test configurations ,[object Object],20,539 23 889 40 Total 0,440 512 2 6 th 0,527 613 2 5 th 0,545 634 2 4 th 0,536 623 2 3 rd 2,678 3 115 16 2 nd 15,813 18 392 16 1 st Time  (ms) No. of bytes Number of test vectors Number of configurations
Test application ,[object Object],[object Object],[object Object]
Rotation strategy ,[object Object],[object Object]
Replicate and release-to-test in a 24-bit counter (example)
Replicate and release-to-test in a 24-bit counter (example)
Rotation strategy:  ITC’99 benchmarks 150 11 245 4787 54 32+2 B14 4 1 53 343 10 10+2 B13 0 0 121 1037 6 5+2 B12 4 1 31 484 6 7+2 B11 0 0 17 190 6 11+2 B10 0 0 28 160 1 1+2 B09 0 0 21 168 4 9+2 B08 6 2 49 422 8 1+2 B07 0 0 9 61 6 2+2 B06 16 4 34 977 36 1+2 B05 14 4 66 606 8 11+2 B04 0 0 30 150 4 4+2 B03 0 0 4 29 1 1+2 B02 0 0 5 47 2 2+2 B01 Segments Lines # FF # gates # PO # PI Reference Carry logic Logic Circuit
Rotation strategy: ∆f and size for the ITC’99 circuits 16,8 6 070 485 5 195 444 -47,8 -13,5 B14 28,6 332 954 258 827 -42,8 -4,3 B13 27,9 1 631 953 1 275 804 -1,2 0,0 B12 22,8 614 093 500 261 -36,0 -10,5 B11 25,5 245 455 195 571 -7,6 -7,5 B10 15,8 129 855 112 107 -4,9 -1,8 B09 18,8 178 339 150 093 -5,8 -5,8 B08 20,0 425 214 354 367 -37,8 -23,6 B07 18,1 53 503 45 291 0,0 -2,7 B06 13,7 1 286 031 1 130 985 -36,9 -17,3 B05 21,3 665 419 548 595 -29,3 -6,1 B04 14,7 138 484 120 705 -4,9 -1,9 B03 51,4 10 623 7 016 0,0 0,0 B02 16,0 56 102 48 350 0,0 -5,5 B01 Horizontal Vertical Horizontal Vertical Ratio size of the reconf. files by CLB (%) (horizontal>vertical) Size of the reconfiguration files (bytes) Maximum  ∆f  (%) Ref.
Fault detection latency ,[object Object],[object Object],[object Object],[object Object]
Fault detection  latency 34,820 40500 Total 15,813 18392 Disconnect the original CLB inputs and setup test configuration 1,146 1333 Disconnect the original CLB outputs  3,550 4129 Place the CLB outputs in parallel 1,906 2217 Disconnect all the auxiliary relocation circuit signals 1,844 2145 Connect the clock enable inputs of both CLBs 0,238 277 BY_C=0 0,238 277 CC=0 0,379 441 BY_C=1  CC=1 9,705 11 289 Copy logic f unctionality  and parallel input signals Time (ms) 20MHz TCK # of bytes Synchronous circuits with clock enable  [With the  replication aid circuit ] 30,625 35621 Total 15,813 18392 Disconnect of the original CLB inputs and setup test configuration 0,923 1073 Disconnect of the original CLB outputs 3,433 3993 Place of the CLB outputs in parallel 10,457 12163 Copy of the internal logic functionality and place of the input signals in parallel Time (ms) 20MHz TCK # of bytes Synchronous circuits with free-running clock and combinational circuits  [Without the replication aid circuit]
Worst-case fault detection latency (XCV200) The mean time to test the full CLB matrix is also the worst-case  fault detection latency 4,726 5 497 Total 0,440 512 6 th 0,527 613 5 th 0,545 634 4 th 0,536 623 3 rd 2,678 3 115 2 nd Time (ms) 20MHz TCK # of bytes # of configurations File size and reconfiguration time of the test configurations 0,066 520 13 40 Time (ms) 20MHz TCK Total (bits) Length (bits) # of test vectors Shifting time for test vector application 4,088 40 1 022 Time (ms) 20MHz TCK # of test vectors # of cells of the BS register in a XCV200 Shifting time for the test vector responses from a CLB under test 26 472,235 ms @ TCK = 33 MHz 43 679,188 ms  @ TCK = 20 MHz Occupation type:  25% synchronous, 50% combinational, 25% empty Mean time for the test of a 1176 CLBs matrix
[object Object],[object Object],[object Object],Defragmentation
Availability vs. floor planning performance ,[object Object]
Fragmentation: Why? ,[object Object],[object Object]
Can concurrent replication help? ,[object Object],[object Object],[object Object]
[object Object],[object Object],Conclusion
Summary ,[object Object],[object Object],[object Object]
Research topics ,[object Object],[object Object]
Tallinn Technical University :: May 4th 2009 This presentation is available at  http://www.slideshare.net/josemmf Tallinn Technical University :: May 5th 2009 This presentation is available at  http://www.slideshare.net/josemmf On using BS to improve the reliability and availability of reconfigurable hardware Thanks for your attention! J. M. Martins Ferreira [ jmf@fe.up.pt ]

More Related Content

What's hot

Dimensioning of IP Backbone
Dimensioning of IP BackboneDimensioning of IP Backbone
Dimensioning of IP Backbone
EM Archieve
 
Case Studies & Network Planning Tools
Case Studies & Network Planning ToolsCase Studies & Network Planning Tools
Case Studies & Network Planning Tools
EM Archieve
 
3DD 1e SyCers
3DD 1e SyCers3DD 1e SyCers
3DD 1e SyCers
Marco Santambrogio
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
Avinash Pillai
 
Biochip
BiochipBiochip
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAEFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
VLSICS Design
 
Study and Development of an Energy Saving Mechanical System
Study and Development of an Energy Saving Mechanical SystemStudy and Development of an Energy Saving Mechanical System
Study and Development of an Energy Saving Mechanical System
IDES Editor
 
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET Journal
 
E0364025031
E0364025031E0364025031
E0364025031
theijes
 
pipeline and vector processing
pipeline and vector processingpipeline and vector processing
pipeline and vector processing
Acad
 
PATH DELAY TEST IN THE PRESENCE OF CROSSTALK,POWER SUPPLY NOISE AND GROUND BO...
PATH DELAY TEST IN THE PRESENCE OF CROSSTALK,POWER SUPPLY NOISE AND GROUND BO...PATH DELAY TEST IN THE PRESENCE OF CROSSTALK,POWER SUPPLY NOISE AND GROUND BO...
PATH DELAY TEST IN THE PRESENCE OF CROSSTALK,POWER SUPPLY NOISE AND GROUND BO...
Kurra Gopi
 
Implementation of FPGA Based Image Processing Algorithm using Xilinx System G...
Implementation of FPGA Based Image Processing Algorithm using Xilinx System G...Implementation of FPGA Based Image Processing Algorithm using Xilinx System G...
Implementation of FPGA Based Image Processing Algorithm using Xilinx System G...
IRJET Journal
 
Lorenzo 1210 features
Lorenzo 1210   featuresLorenzo 1210   features
Lorenzo 1210 features
Mark Chien
 
Cuda project paper
Cuda project paperCuda project paper
Cuda project paper
Kan-Han (John) Lu
 
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann MethodNumerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
IDES Editor
 
Making Custom Oscilloscope Measurements
Making Custom Oscilloscope MeasurementsMaking Custom Oscilloscope Measurements
Making Custom Oscilloscope Measurements
teledynelecroy
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
VLSICS Design
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
ijsrd.com
 

What's hot (18)

Dimensioning of IP Backbone
Dimensioning of IP BackboneDimensioning of IP Backbone
Dimensioning of IP Backbone
 
Case Studies & Network Planning Tools
Case Studies & Network Planning ToolsCase Studies & Network Planning Tools
Case Studies & Network Planning Tools
 
3DD 1e SyCers
3DD 1e SyCers3DD 1e SyCers
3DD 1e SyCers
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
Biochip
BiochipBiochip
Biochip
 
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGAEFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
EFFICIENT ABSOLUTE DIFFERENCE CIRCUIT FOR SAD COMPUTATION ON FPGA
 
Study and Development of an Energy Saving Mechanical System
Study and Development of an Energy Saving Mechanical SystemStudy and Development of an Energy Saving Mechanical System
Study and Development of an Energy Saving Mechanical System
 
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
IRJET- An Improved DCM-Based Tunable True Random Number Generator for Xilinx ...
 
E0364025031
E0364025031E0364025031
E0364025031
 
pipeline and vector processing
pipeline and vector processingpipeline and vector processing
pipeline and vector processing
 
PATH DELAY TEST IN THE PRESENCE OF CROSSTALK,POWER SUPPLY NOISE AND GROUND BO...
PATH DELAY TEST IN THE PRESENCE OF CROSSTALK,POWER SUPPLY NOISE AND GROUND BO...PATH DELAY TEST IN THE PRESENCE OF CROSSTALK,POWER SUPPLY NOISE AND GROUND BO...
PATH DELAY TEST IN THE PRESENCE OF CROSSTALK,POWER SUPPLY NOISE AND GROUND BO...
 
Implementation of FPGA Based Image Processing Algorithm using Xilinx System G...
Implementation of FPGA Based Image Processing Algorithm using Xilinx System G...Implementation of FPGA Based Image Processing Algorithm using Xilinx System G...
Implementation of FPGA Based Image Processing Algorithm using Xilinx System G...
 
Lorenzo 1210 features
Lorenzo 1210   featuresLorenzo 1210   features
Lorenzo 1210 features
 
Cuda project paper
Cuda project paperCuda project paper
Cuda project paper
 
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann MethodNumerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
Numerical Simulation of Gaseous Microflows by Lattice Boltzmann Method
 
Making Custom Oscilloscope Measurements
Making Custom Oscilloscope MeasurementsMaking Custom Oscilloscope Measurements
Making Custom Oscilloscope Measurements
 
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPDESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOP
 
Digital standard cell library Design flow
Digital standard cell library Design flowDigital standard cell library Design flow
Digital standard cell library Design flow
 

Viewers also liked

REV2009
REV2009REV2009
Adelaide Jan 2009
Adelaide Jan 2009Adelaide Jan 2009
Adelaide Jan 2009
Jose Manuel Martins Ferreira
 
Ddis3010 course work_spec_slides
Ddis3010 course work_spec_slidesDdis3010 course work_spec_slides
Ddis3010 course work_spec_slides
Jose Manuel Martins Ferreira
 
REV2010
REV2010REV2010
Twitter Talking Tech2
Twitter Talking Tech2Twitter Talking Tech2
Twitter Talking Tech2
mmurphree
 
Tweeting And Texting
Tweeting And TextingTweeting And Texting
Tweeting And Texting
mmurphree
 
Irsc Meeting Slides
Irsc Meeting SlidesIrsc Meeting Slides
Irsc Meeting Slides
mmurphree
 
Vietnam War Revised
Vietnam War RevisedVietnam War Revised
Vietnam War Revised
mmurphree
 
Guide Elearning Apprenants CASONEP
Guide Elearning Apprenants CASONEPGuide Elearning Apprenants CASONEP
Guide Elearning Apprenants CASONEP
CASONEP ELEARNING
 

Viewers also liked (9)

REV2009
REV2009REV2009
REV2009
 
Adelaide Jan 2009
Adelaide Jan 2009Adelaide Jan 2009
Adelaide Jan 2009
 
Ddis3010 course work_spec_slides
Ddis3010 course work_spec_slidesDdis3010 course work_spec_slides
Ddis3010 course work_spec_slides
 
REV2010
REV2010REV2010
REV2010
 
Twitter Talking Tech2
Twitter Talking Tech2Twitter Talking Tech2
Twitter Talking Tech2
 
Tweeting And Texting
Tweeting And TextingTweeting And Texting
Tweeting And Texting
 
Irsc Meeting Slides
Irsc Meeting SlidesIrsc Meeting Slides
Irsc Meeting Slides
 
Vietnam War Revised
Vietnam War RevisedVietnam War Revised
Vietnam War Revised
 
Guide Elearning Apprenants CASONEP
Guide Elearning Apprenants CASONEPGuide Elearning Apprenants CASONEP
Guide Elearning Apprenants CASONEP
 

Similar to On using BS to improve the

Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
IDES Editor
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
iosrjce
 
H010613642
H010613642H010613642
H010613642
IOSR Journals
 
40120140506006
4012014050600640120140506006
40120140506006
IAEME Publication
 
Stephan berg track f
Stephan berg   track fStephan berg   track f
Stephan berg track f
Alona Gradman
 
Ig3415411546
Ig3415411546Ig3415411546
Ig3415411546
IJERA Editor
 
Techniques for Minimizing Power Consumption in DFT during Scan Test Activity
Techniques for Minimizing Power Consumption in DFT during Scan Test ActivityTechniques for Minimizing Power Consumption in DFT during Scan Test Activity
Techniques for Minimizing Power Consumption in DFT during Scan Test Activity
IJTET Journal
 
The IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standardThe IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standard
Jose Manuel Martins Ferreira
 
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
IJERA Editor
 
Short.course.introduction.to.vhdl
Short.course.introduction.to.vhdlShort.course.introduction.to.vhdl
Short.course.introduction.to.vhdl
Ravi Sony
 
The CAOS framework: democratize the acceleration of compute intensive applica...
The CAOS framework: democratize the acceleration of compute intensive applica...The CAOS framework: democratize the acceleration of compute intensive applica...
The CAOS framework: democratize the acceleration of compute intensive applica...
NECST Lab @ Politecnico di Milano
 
ECET 365 Success Begins /newtonhelp.com 
ECET 365 Success Begins /newtonhelp.com ECET 365 Success Begins /newtonhelp.com 
ECET 365 Success Begins /newtonhelp.com 
myblue134
 
Lecture10.ppt
Lecture10.pptLecture10.ppt
Lecture10.ppt
thiru17270
 
Deep Learning Initiative @ NECSTLab
Deep Learning Initiative @ NECSTLabDeep Learning Initiative @ NECSTLab
Deep Learning Initiative @ NECSTLab
NECST Lab @ Politecnico di Milano
 
IllinoisScan_seminar.ppt
IllinoisScan_seminar.pptIllinoisScan_seminar.ppt
IllinoisScan_seminar.ppt
coolbusinessman
 
Alex Smola, Professor in the Machine Learning Department, Carnegie Mellon Uni...
Alex Smola, Professor in the Machine Learning Department, Carnegie Mellon Uni...Alex Smola, Professor in the Machine Learning Department, Carnegie Mellon Uni...
Alex Smola, Professor in the Machine Learning Department, Carnegie Mellon Uni...
MLconf
 
ECET 365 Success Begins/Newtonhelp.com
ECET 365 Success Begins/Newtonhelp.comECET 365 Success Begins/Newtonhelp.com
ECET 365 Success Begins/Newtonhelp.com
ledlang1
 
Reducing computational complexity of Mathematical functions using FPGA
Reducing computational complexity of Mathematical functions using FPGAReducing computational complexity of Mathematical functions using FPGA
Reducing computational complexity of Mathematical functions using FPGA
nehagaur339
 
1.My Presentation.pptx
1.My Presentation.pptx1.My Presentation.pptx
1.My Presentation.pptx
ArslanAliArslanAli
 
Maestro_Abstract
Maestro_AbstractMaestro_Abstract
Maestro_Abstract
Hardik Patel
 

Similar to On using BS to improve the (20)

Optimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-ChipOptimal and Power Aware BIST for Delay Testing of System-On-Chip
Optimal and Power Aware BIST for Delay Testing of System-On-Chip
 
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
Vlsi Design of Low Transition Low Power Test Pattern Generator Using Fault Co...
 
H010613642
H010613642H010613642
H010613642
 
40120140506006
4012014050600640120140506006
40120140506006
 
Stephan berg track f
Stephan berg   track fStephan berg   track f
Stephan berg track f
 
Ig3415411546
Ig3415411546Ig3415411546
Ig3415411546
 
Techniques for Minimizing Power Consumption in DFT during Scan Test Activity
Techniques for Minimizing Power Consumption in DFT during Scan Test ActivityTechniques for Minimizing Power Consumption in DFT during Scan Test Activity
Techniques for Minimizing Power Consumption in DFT during Scan Test Activity
 
The IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standardThe IEEE 1149.1 Boundary-scan test standard
The IEEE 1149.1 Boundary-scan test standard
 
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
Reduced Test Pattern Generation of Multiple SIC Vectors with Input and Output...
 
Short.course.introduction.to.vhdl
Short.course.introduction.to.vhdlShort.course.introduction.to.vhdl
Short.course.introduction.to.vhdl
 
The CAOS framework: democratize the acceleration of compute intensive applica...
The CAOS framework: democratize the acceleration of compute intensive applica...The CAOS framework: democratize the acceleration of compute intensive applica...
The CAOS framework: democratize the acceleration of compute intensive applica...
 
ECET 365 Success Begins /newtonhelp.com 
ECET 365 Success Begins /newtonhelp.com ECET 365 Success Begins /newtonhelp.com 
ECET 365 Success Begins /newtonhelp.com 
 
Lecture10.ppt
Lecture10.pptLecture10.ppt
Lecture10.ppt
 
Deep Learning Initiative @ NECSTLab
Deep Learning Initiative @ NECSTLabDeep Learning Initiative @ NECSTLab
Deep Learning Initiative @ NECSTLab
 
IllinoisScan_seminar.ppt
IllinoisScan_seminar.pptIllinoisScan_seminar.ppt
IllinoisScan_seminar.ppt
 
Alex Smola, Professor in the Machine Learning Department, Carnegie Mellon Uni...
Alex Smola, Professor in the Machine Learning Department, Carnegie Mellon Uni...Alex Smola, Professor in the Machine Learning Department, Carnegie Mellon Uni...
Alex Smola, Professor in the Machine Learning Department, Carnegie Mellon Uni...
 
ECET 365 Success Begins/Newtonhelp.com
ECET 365 Success Begins/Newtonhelp.comECET 365 Success Begins/Newtonhelp.com
ECET 365 Success Begins/Newtonhelp.com
 
Reducing computational complexity of Mathematical functions using FPGA
Reducing computational complexity of Mathematical functions using FPGAReducing computational complexity of Mathematical functions using FPGA
Reducing computational complexity of Mathematical functions using FPGA
 
1.My Presentation.pptx
1.My Presentation.pptx1.My Presentation.pptx
1.My Presentation.pptx
 
Maestro_Abstract
Maestro_AbstractMaestro_Abstract
Maestro_Abstract
 

Recently uploaded

Hindi varnamala | hindi alphabet PPT.pdf
Hindi varnamala | hindi alphabet PPT.pdfHindi varnamala | hindi alphabet PPT.pdf
Hindi varnamala | hindi alphabet PPT.pdf
Dr. Mulla Adam Ali
 
MARY JANE WILSON, A “BOA MÃE” .
MARY JANE WILSON, A “BOA MÃE”           .MARY JANE WILSON, A “BOA MÃE”           .
MARY JANE WILSON, A “BOA MÃE” .
Colégio Santa Teresinha
 
Leveraging Generative AI to Drive Nonprofit Innovation
Leveraging Generative AI to Drive Nonprofit InnovationLeveraging Generative AI to Drive Nonprofit Innovation
Leveraging Generative AI to Drive Nonprofit Innovation
TechSoup
 
Your Skill Boost Masterclass: Strategies for Effective Upskilling
Your Skill Boost Masterclass: Strategies for Effective UpskillingYour Skill Boost Masterclass: Strategies for Effective Upskilling
Your Skill Boost Masterclass: Strategies for Effective Upskilling
Excellence Foundation for South Sudan
 
Wound healing PPT
Wound healing PPTWound healing PPT
Wound healing PPT
Jyoti Chand
 
Cognitive Development Adolescence Psychology
Cognitive Development Adolescence PsychologyCognitive Development Adolescence Psychology
Cognitive Development Adolescence Psychology
paigestewart1632
 
writing about opinions about Australia the movie
writing about opinions about Australia the moviewriting about opinions about Australia the movie
writing about opinions about Australia the movie
Nicholas Montgomery
 
How to Setup Warehouse & Location in Odoo 17 Inventory
How to Setup Warehouse & Location in Odoo 17 InventoryHow to Setup Warehouse & Location in Odoo 17 Inventory
How to Setup Warehouse & Location in Odoo 17 Inventory
Celine George
 
BÀI TẬP BỔ TRỢ TIẾNG ANH 8 CẢ NĂM - GLOBAL SUCCESS - NĂM HỌC 2023-2024 (CÓ FI...
BÀI TẬP BỔ TRỢ TIẾNG ANH 8 CẢ NĂM - GLOBAL SUCCESS - NĂM HỌC 2023-2024 (CÓ FI...BÀI TẬP BỔ TRỢ TIẾNG ANH 8 CẢ NĂM - GLOBAL SUCCESS - NĂM HỌC 2023-2024 (CÓ FI...
BÀI TẬP BỔ TRỢ TIẾNG ANH 8 CẢ NĂM - GLOBAL SUCCESS - NĂM HỌC 2023-2024 (CÓ FI...
Nguyen Thanh Tu Collection
 
South African Journal of Science: Writing with integrity workshop (2024)
South African Journal of Science: Writing with integrity workshop (2024)South African Journal of Science: Writing with integrity workshop (2024)
South African Journal of Science: Writing with integrity workshop (2024)
Academy of Science of South Africa
 
Liberal Approach to the Study of Indian Politics.pdf
Liberal Approach to the Study of Indian Politics.pdfLiberal Approach to the Study of Indian Politics.pdf
Liberal Approach to the Study of Indian Politics.pdf
WaniBasim
 
Digital Artefact 1 - Tiny Home Environmental Design
Digital Artefact 1 - Tiny Home Environmental DesignDigital Artefact 1 - Tiny Home Environmental Design
Digital Artefact 1 - Tiny Home Environmental Design
amberjdewit93
 
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem studentsRHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
Himanshu Rai
 
Pengantar Penggunaan Flutter - Dart programming language1.pptx
Pengantar Penggunaan Flutter - Dart programming language1.pptxPengantar Penggunaan Flutter - Dart programming language1.pptx
Pengantar Penggunaan Flutter - Dart programming language1.pptx
Fajar Baskoro
 
How to Build a Module in Odoo 17 Using the Scaffold Method
How to Build a Module in Odoo 17 Using the Scaffold MethodHow to Build a Module in Odoo 17 Using the Scaffold Method
How to Build a Module in Odoo 17 Using the Scaffold Method
Celine George
 
C1 Rubenstein AP HuG xxxxxxxxxxxxxx.pptx
C1 Rubenstein AP HuG xxxxxxxxxxxxxx.pptxC1 Rubenstein AP HuG xxxxxxxxxxxxxx.pptx
C1 Rubenstein AP HuG xxxxxxxxxxxxxx.pptx
mulvey2
 
Reimagining Your Library Space: How to Increase the Vibes in Your Library No ...
Reimagining Your Library Space: How to Increase the Vibes in Your Library No ...Reimagining Your Library Space: How to Increase the Vibes in Your Library No ...
Reimagining Your Library Space: How to Increase the Vibes in Your Library No ...
Diana Rendina
 
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdfANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
Priyankaranawat4
 
Chapter wise All Notes of First year Basic Civil Engineering.pptx
Chapter wise All Notes of First year Basic Civil Engineering.pptxChapter wise All Notes of First year Basic Civil Engineering.pptx
Chapter wise All Notes of First year Basic Civil Engineering.pptx
Denish Jangid
 
Main Java[All of the Base Concepts}.docx
Main Java[All of the Base Concepts}.docxMain Java[All of the Base Concepts}.docx
Main Java[All of the Base Concepts}.docx
adhitya5119
 

Recently uploaded (20)

Hindi varnamala | hindi alphabet PPT.pdf
Hindi varnamala | hindi alphabet PPT.pdfHindi varnamala | hindi alphabet PPT.pdf
Hindi varnamala | hindi alphabet PPT.pdf
 
MARY JANE WILSON, A “BOA MÃE” .
MARY JANE WILSON, A “BOA MÃE”           .MARY JANE WILSON, A “BOA MÃE”           .
MARY JANE WILSON, A “BOA MÃE” .
 
Leveraging Generative AI to Drive Nonprofit Innovation
Leveraging Generative AI to Drive Nonprofit InnovationLeveraging Generative AI to Drive Nonprofit Innovation
Leveraging Generative AI to Drive Nonprofit Innovation
 
Your Skill Boost Masterclass: Strategies for Effective Upskilling
Your Skill Boost Masterclass: Strategies for Effective UpskillingYour Skill Boost Masterclass: Strategies for Effective Upskilling
Your Skill Boost Masterclass: Strategies for Effective Upskilling
 
Wound healing PPT
Wound healing PPTWound healing PPT
Wound healing PPT
 
Cognitive Development Adolescence Psychology
Cognitive Development Adolescence PsychologyCognitive Development Adolescence Psychology
Cognitive Development Adolescence Psychology
 
writing about opinions about Australia the movie
writing about opinions about Australia the moviewriting about opinions about Australia the movie
writing about opinions about Australia the movie
 
How to Setup Warehouse & Location in Odoo 17 Inventory
How to Setup Warehouse & Location in Odoo 17 InventoryHow to Setup Warehouse & Location in Odoo 17 Inventory
How to Setup Warehouse & Location in Odoo 17 Inventory
 
BÀI TẬP BỔ TRỢ TIẾNG ANH 8 CẢ NĂM - GLOBAL SUCCESS - NĂM HỌC 2023-2024 (CÓ FI...
BÀI TẬP BỔ TRỢ TIẾNG ANH 8 CẢ NĂM - GLOBAL SUCCESS - NĂM HỌC 2023-2024 (CÓ FI...BÀI TẬP BỔ TRỢ TIẾNG ANH 8 CẢ NĂM - GLOBAL SUCCESS - NĂM HỌC 2023-2024 (CÓ FI...
BÀI TẬP BỔ TRỢ TIẾNG ANH 8 CẢ NĂM - GLOBAL SUCCESS - NĂM HỌC 2023-2024 (CÓ FI...
 
South African Journal of Science: Writing with integrity workshop (2024)
South African Journal of Science: Writing with integrity workshop (2024)South African Journal of Science: Writing with integrity workshop (2024)
South African Journal of Science: Writing with integrity workshop (2024)
 
Liberal Approach to the Study of Indian Politics.pdf
Liberal Approach to the Study of Indian Politics.pdfLiberal Approach to the Study of Indian Politics.pdf
Liberal Approach to the Study of Indian Politics.pdf
 
Digital Artefact 1 - Tiny Home Environmental Design
Digital Artefact 1 - Tiny Home Environmental DesignDigital Artefact 1 - Tiny Home Environmental Design
Digital Artefact 1 - Tiny Home Environmental Design
 
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem studentsRHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
RHEOLOGY Physical pharmaceutics-II notes for B.pharm 4th sem students
 
Pengantar Penggunaan Flutter - Dart programming language1.pptx
Pengantar Penggunaan Flutter - Dart programming language1.pptxPengantar Penggunaan Flutter - Dart programming language1.pptx
Pengantar Penggunaan Flutter - Dart programming language1.pptx
 
How to Build a Module in Odoo 17 Using the Scaffold Method
How to Build a Module in Odoo 17 Using the Scaffold MethodHow to Build a Module in Odoo 17 Using the Scaffold Method
How to Build a Module in Odoo 17 Using the Scaffold Method
 
C1 Rubenstein AP HuG xxxxxxxxxxxxxx.pptx
C1 Rubenstein AP HuG xxxxxxxxxxxxxx.pptxC1 Rubenstein AP HuG xxxxxxxxxxxxxx.pptx
C1 Rubenstein AP HuG xxxxxxxxxxxxxx.pptx
 
Reimagining Your Library Space: How to Increase the Vibes in Your Library No ...
Reimagining Your Library Space: How to Increase the Vibes in Your Library No ...Reimagining Your Library Space: How to Increase the Vibes in Your Library No ...
Reimagining Your Library Space: How to Increase the Vibes in Your Library No ...
 
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdfANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
ANATOMY AND BIOMECHANICS OF HIP JOINT.pdf
 
Chapter wise All Notes of First year Basic Civil Engineering.pptx
Chapter wise All Notes of First year Basic Civil Engineering.pptxChapter wise All Notes of First year Basic Civil Engineering.pptx
Chapter wise All Notes of First year Basic Civil Engineering.pptx
 
Main Java[All of the Base Concepts}.docx
Main Java[All of the Base Concepts}.docxMain Java[All of the Base Concepts}.docx
Main Java[All of the Base Concepts}.docx
 

On using BS to improve the

  • 1. Tallinn Technical University :: May 4th 2009 This presentation is available at http://www.slideshare.net/josemmf Tallinn Technical University :: May 5th 2009 This presentation is available at http://www.slideshare.net/josemmf On using BS to improve the reliability and availability of reconfigurable hardware J. M. Martins Ferreira [ jmf@fe.up.pt ] FEUP / DEEC - Rua Dr. Roberto Frias 4200-537 Porto - PORTUGAL M. G. Gericota, G. R. Alves, M. Silva, J. M. Ferreira, “Reliability and Avaliability in Reconfigurable Computing: A Basis for a Common Solution,” IEEE Transactions on VLSI Systems , Vol. 16, No. 11, pp. 1545-1558 , Nov. 2008.
  • 2.
  • 3.
  • 4.
  • 5. Motivation: An old problem becomes more important
  • 6.
  • 7.
  • 8.
  • 9.
  • 10.
  • 11.
  • 12.
  • 13. Replication flow: Time & space needed 1 2 3 4 5 6 7 8 9 1 2 3 4 5 6 7 8 9 22,444 26 094 Total 3,438 3 986 Disconnect the original CLB inputs 1,146 1 333 Disconnect the original CLB outputs 3,550 4 129 Place the CLB outputs in parallel 1,906 2 217 Disconnect all the auxiliary relocation circuit signals 1,844 2 145 Connect the clock enable inputs of both CLBs 0,238 277 BY_C=0 0,238 277 CC=0 0,379 441 BY_C=1 & CC=1 9,705 11 289 Copy the internal logic functionality and place the input signals in parallel Time (ms) No. of bytes Steps
  • 14.
  • 15.
  • 16.
  • 17.
  • 18. Replicate and release-to-test in a 24-bit counter (example)
  • 19. Replicate and release-to-test in a 24-bit counter (example)
  • 20. Rotation strategy: ITC’99 benchmarks 150 11 245 4787 54 32+2 B14 4 1 53 343 10 10+2 B13 0 0 121 1037 6 5+2 B12 4 1 31 484 6 7+2 B11 0 0 17 190 6 11+2 B10 0 0 28 160 1 1+2 B09 0 0 21 168 4 9+2 B08 6 2 49 422 8 1+2 B07 0 0 9 61 6 2+2 B06 16 4 34 977 36 1+2 B05 14 4 66 606 8 11+2 B04 0 0 30 150 4 4+2 B03 0 0 4 29 1 1+2 B02 0 0 5 47 2 2+2 B01 Segments Lines # FF # gates # PO # PI Reference Carry logic Logic Circuit
  • 21. Rotation strategy: ∆f and size for the ITC’99 circuits 16,8 6 070 485 5 195 444 -47,8 -13,5 B14 28,6 332 954 258 827 -42,8 -4,3 B13 27,9 1 631 953 1 275 804 -1,2 0,0 B12 22,8 614 093 500 261 -36,0 -10,5 B11 25,5 245 455 195 571 -7,6 -7,5 B10 15,8 129 855 112 107 -4,9 -1,8 B09 18,8 178 339 150 093 -5,8 -5,8 B08 20,0 425 214 354 367 -37,8 -23,6 B07 18,1 53 503 45 291 0,0 -2,7 B06 13,7 1 286 031 1 130 985 -36,9 -17,3 B05 21,3 665 419 548 595 -29,3 -6,1 B04 14,7 138 484 120 705 -4,9 -1,9 B03 51,4 10 623 7 016 0,0 0,0 B02 16,0 56 102 48 350 0,0 -5,5 B01 Horizontal Vertical Horizontal Vertical Ratio size of the reconf. files by CLB (%) (horizontal>vertical) Size of the reconfiguration files (bytes) Maximum ∆f (%) Ref.
  • 22.
  • 23. Fault detection latency 34,820 40500 Total 15,813 18392 Disconnect the original CLB inputs and setup test configuration 1,146 1333 Disconnect the original CLB outputs 3,550 4129 Place the CLB outputs in parallel 1,906 2217 Disconnect all the auxiliary relocation circuit signals 1,844 2145 Connect the clock enable inputs of both CLBs 0,238 277 BY_C=0 0,238 277 CC=0 0,379 441 BY_C=1  CC=1 9,705 11 289 Copy logic f unctionality and parallel input signals Time (ms) 20MHz TCK # of bytes Synchronous circuits with clock enable [With the replication aid circuit ] 30,625 35621 Total 15,813 18392 Disconnect of the original CLB inputs and setup test configuration 0,923 1073 Disconnect of the original CLB outputs 3,433 3993 Place of the CLB outputs in parallel 10,457 12163 Copy of the internal logic functionality and place of the input signals in parallel Time (ms) 20MHz TCK # of bytes Synchronous circuits with free-running clock and combinational circuits [Without the replication aid circuit]
  • 24. Worst-case fault detection latency (XCV200) The mean time to test the full CLB matrix is also the worst-case fault detection latency 4,726 5 497 Total 0,440 512 6 th 0,527 613 5 th 0,545 634 4 th 0,536 623 3 rd 2,678 3 115 2 nd Time (ms) 20MHz TCK # of bytes # of configurations File size and reconfiguration time of the test configurations 0,066 520 13 40 Time (ms) 20MHz TCK Total (bits) Length (bits) # of test vectors Shifting time for test vector application 4,088 40 1 022 Time (ms) 20MHz TCK # of test vectors # of cells of the BS register in a XCV200 Shifting time for the test vector responses from a CLB under test 26 472,235 ms @ TCK = 33 MHz 43 679,188 ms @ TCK = 20 MHz Occupation type: 25% synchronous, 50% combinational, 25% empty Mean time for the test of a 1176 CLBs matrix
  • 25.
  • 26.
  • 27.
  • 28.
  • 29.
  • 30.
  • 31.
  • 32. Tallinn Technical University :: May 4th 2009 This presentation is available at http://www.slideshare.net/josemmf Tallinn Technical University :: May 5th 2009 This presentation is available at http://www.slideshare.net/josemmf On using BS to improve the reliability and availability of reconfigurable hardware Thanks for your attention! J. M. Martins Ferreira [ jmf@fe.up.pt ]