The document summarizes research on energy efficient hybrid precoding for simultaneous wireless information and power transfer (SWIPT)-enabled massive MIMO non-orthogonal multiple access (NOMA) systems. It proposes a novel approach using an analog circuit-embedded (ACE) algorithm to design the analog precoder based on a switch-incorporated (SI) architecture. The ACE algorithm uses a probabilistic model and smoothed updating procedure to generate the analog precoding matrix with elements of ±1/√N. Simulation results show the proposed method achieves higher spectral and energy efficiency compared to existing techniques.
Modelled and Analysed the watershed Dynamics in Mahanadi River Basin. Finally came up with watershed Management Plan to minimise the future LUCC in Mahanadi River Basin
This document is a thesis submitted by Mohammed Abuibaid to Kocaeli University regarding adaptive beam-forming. It discusses various beam-forming techniques including switched array antennas, DSP-based phase manipulation, and beamforming by precoding. It also covers adaptive beamforming algorithms such as LMS, NLMS, RLS, and CM. Various beam patterns generated by these algorithms are presented. The document motivates the need for adaptive beamforming and 3D beamforming to improve energy efficiency in wireless networks.
MMICs (Monolithic Microwave Integrated Circuits) are integrated circuits that operate at microwave frequencies between 300 MHz and 300 GHz. They are built on a single crystal and perform functions like microwave mixing, power amplification, and high frequency switching. MMICs are small, mass producible, and easier to use than hybrid circuits since they do not require external matching networks. They have advantages like low cost, small size, high reliability, and improved reproducibility. Some applications of MMICs include communications, homeland security scanners, imaging and sensors, and new areas like automotive radar and aircraft systems.
The presentation is about Adaptive Beamforming for high data-rate applications. Analog beamforming, which is considered a cost effective solution for consumer devices are investigated. Two adaptive analog beamforming algorithms, i.e., a well-known perturbation-based and dmr-based which overcomes the drawbacks of perturbation-based algorithm are discussed in-detail and their performance comparisons are made with the help of computer simulations. Also variation of single-port structure is considered and it's benefits are exploited with the help of modified analog beamforming algorithms.
This document discusses radio frequency (RF) modules. It describes RF characteristics like operating in the 3 kHz to 300 GHz range and using amplitude shift keying modulation. RF modules have advantages over infrared for wireless communication, as they can operate over longer distances through walls. The document then provides details on RF transmitter and receiver modules, including specifications like operating frequencies, data rates, power consumption and ranges. It also discusses encoder and decoder integrated circuits like the HT12E and HT12D that are used with microcontrollers to enable wireless communication applications using RF technology. Circuit diagrams of RF transmitters and receivers are presented along with examples of their use both with and without microcontrollers.
This document provides an overview of a course on the finite element method. The course objectives are for students to learn how to write simple programs to solve problems using FEM. Assessment includes assignments, quizzes, a course project, midterm exam, and final exam. Fundamental agreements include electronic homework submission and using MATLAB or Mathematica. References on FEM are also provided. The document outlines numerical methods for solving boundary value problems and introduces weighted residual methods like the collocation method, subdomain method, and Galerkin method.
The document discusses MIMO (Multiple Input Multiple Output) systems. It motivates MIMO by explaining how system designers aim to achieve high data rates and quality while minimizing complexity, transmission power, and bandwidth. It describes MIMO antenna configurations including SISO and MIMO. MIMO systems use multiple transmit and receive antennas to achieve high capacity. The document outlines diversity as a design criterion for MIMO systems to achieve reliable reception. It also discusses Alamouti's space-time coding scheme and how MIMO can be combined with OFDM to further improve performance. In conclusions, MIMO brings us closer to gigabit speeds while also providing reliable communications.
The document discusses different types of antennas used for satellite communication systems. It describes earth station antennas including axisymmetric dishes, offset dishes, and array antennas. It also describes satellite antennas including circular/elliptical beam antennas for global coverage, shaped/contoured beam antennas using feed arrays, and multibeam antennas. Key antenna specifications like radiation pattern, gain, directivity, polarization are also discussed. Common antenna types mentioned include horn antennas, reflector antennas, and array antennas.
Modelled and Analysed the watershed Dynamics in Mahanadi River Basin. Finally came up with watershed Management Plan to minimise the future LUCC in Mahanadi River Basin
This document is a thesis submitted by Mohammed Abuibaid to Kocaeli University regarding adaptive beam-forming. It discusses various beam-forming techniques including switched array antennas, DSP-based phase manipulation, and beamforming by precoding. It also covers adaptive beamforming algorithms such as LMS, NLMS, RLS, and CM. Various beam patterns generated by these algorithms are presented. The document motivates the need for adaptive beamforming and 3D beamforming to improve energy efficiency in wireless networks.
MMICs (Monolithic Microwave Integrated Circuits) are integrated circuits that operate at microwave frequencies between 300 MHz and 300 GHz. They are built on a single crystal and perform functions like microwave mixing, power amplification, and high frequency switching. MMICs are small, mass producible, and easier to use than hybrid circuits since they do not require external matching networks. They have advantages like low cost, small size, high reliability, and improved reproducibility. Some applications of MMICs include communications, homeland security scanners, imaging and sensors, and new areas like automotive radar and aircraft systems.
The presentation is about Adaptive Beamforming for high data-rate applications. Analog beamforming, which is considered a cost effective solution for consumer devices are investigated. Two adaptive analog beamforming algorithms, i.e., a well-known perturbation-based and dmr-based which overcomes the drawbacks of perturbation-based algorithm are discussed in-detail and their performance comparisons are made with the help of computer simulations. Also variation of single-port structure is considered and it's benefits are exploited with the help of modified analog beamforming algorithms.
This document discusses radio frequency (RF) modules. It describes RF characteristics like operating in the 3 kHz to 300 GHz range and using amplitude shift keying modulation. RF modules have advantages over infrared for wireless communication, as they can operate over longer distances through walls. The document then provides details on RF transmitter and receiver modules, including specifications like operating frequencies, data rates, power consumption and ranges. It also discusses encoder and decoder integrated circuits like the HT12E and HT12D that are used with microcontrollers to enable wireless communication applications using RF technology. Circuit diagrams of RF transmitters and receivers are presented along with examples of their use both with and without microcontrollers.
This document provides an overview of a course on the finite element method. The course objectives are for students to learn how to write simple programs to solve problems using FEM. Assessment includes assignments, quizzes, a course project, midterm exam, and final exam. Fundamental agreements include electronic homework submission and using MATLAB or Mathematica. References on FEM are also provided. The document outlines numerical methods for solving boundary value problems and introduces weighted residual methods like the collocation method, subdomain method, and Galerkin method.
The document discusses MIMO (Multiple Input Multiple Output) systems. It motivates MIMO by explaining how system designers aim to achieve high data rates and quality while minimizing complexity, transmission power, and bandwidth. It describes MIMO antenna configurations including SISO and MIMO. MIMO systems use multiple transmit and receive antennas to achieve high capacity. The document outlines diversity as a design criterion for MIMO systems to achieve reliable reception. It also discusses Alamouti's space-time coding scheme and how MIMO can be combined with OFDM to further improve performance. In conclusions, MIMO brings us closer to gigabit speeds while also providing reliable communications.
The document discusses different types of antennas used for satellite communication systems. It describes earth station antennas including axisymmetric dishes, offset dishes, and array antennas. It also describes satellite antennas including circular/elliptical beam antennas for global coverage, shaped/contoured beam antennas using feed arrays, and multibeam antennas. Key antenna specifications like radiation pattern, gain, directivity, polarization are also discussed. Common antenna types mentioned include horn antennas, reflector antennas, and array antennas.
Topics covered in this presentation:
1. RF spectrum and GSM specifications
2. FDMA and TDMA
3. Digital Voice Transmission
4. Channel coding, Interleaving and Burst formatting
5. GMSK
6. Frame structure of GSM
7. Corrective actions against multipath fading
The document discusses beamforming antennas and their applications. It begins by outlining beamforming concepts and configurations like phased arrays and adaptive arrays. It then discusses applications of beamforming antennas in areas like radar, sonar, communications and imaging. Specific examples covered include phased array radar, neuronal spike sorting, and smart antenna systems for wireless networks. Vector antennas and their advantages over phased arrays are also summarized. Finally, the document discusses potential uses and challenges of beamforming antennas for wireless ad hoc networks.
Interference limits the capacity of cellular radio systems by creating bottlenecks that reduce performance. The two primary types of interference are co-channel interference, which occurs between cells using the same frequencies, and adjacent channel interference, which occurs between nearby frequency channels. Managing interference is important for cellular system design in order to minimize cross-talk and missed/blocked calls.
This document contains several examples of using Mason's Rule to calculate transfer functions from signal flow graphs. The examples demonstrate applying Mason's Rule to calculate loop gains, forward path gains, non-touching loops, and the overall transfer function. Signal flow graphs are constructed from block diagrams and the steps of Mason's Rule are systematically worked through.
Low power VLSI design has become important due to increasing integration leading to higher power consumption. Low power design is essential for handheld devices to allow long battery life and better performance. There are various techniques for low power design including reducing supply voltage, minimizing capacitance and switching activity, and employing strategies like clock gating and power gating. Low power design can be achieved at different levels from system to logic to physical design.
Sangram Keshari Nayak presented a technical seminar on W-CDMA at the National Institute of Science and Technology. W-CDMA stands for Wideband Code Division Multiple Access, which is a 3G network that uses a 5MHz carrier spectrum and has higher capacity than previous networks. The presentation covered topics such as how CDMA works, the differences between CDMA variants, W-CDMA characteristics and parameters, design issues like turbo coding and interference cancellation, the WCDMA system, radio network functionality including power control and soft handover, and upgrading from GSM to WCDMA networks.
The document discusses several key challenges in physical design for semiconductor chips. It outlines general challenges faced in analog, digital, and mixed-signal design such as manufacturing technology limitations, leakage power, interconnect delay, and congestion. Specific issues discussed in more detail include routing congestion, IR drop causing voltage variations, crosstalk interference, scaling challenges between different process nodes, and thermal issues in 3D chip design involving through-silicon vias. The document provides an overview of design objectives to optimize power, timing, area, and yield against these physical implementation challenges.
Performance analysis of adaptive noise canceller for an ecg signalRaj Kumar Thenua
In numerous applications of signal processing, communications and biomedical we are faced with the necessity to remove noise and distortion from the signals. Adaptive filtering is one of the most important areas in digital signal processing to remove background noise and distortion. In last few years various adaptive algorithms are developed for noise cancellation. In this paper we present an implementation of LMS (Least Mean Square), NLMS (Normalized Least Mean Square) and RLS (Recursive Least Square) algorithms on MATLAB platform with the intention to compare their performance in noise cancellation. We simulate the adaptive filter in MATLAB with a noisy ECG signal and analyze the performance of algorithms in terms of MSE (Mean Squared Error), SNR Improvement, computational complexity and stability. The obtained results shows that RLS has the best performance but at the cost of large computational complexity and memory requirement.
This document discusses multiple access techniques for wireless communications, including FDMA, TDMA, and CDMA. It provides details on how each technique works and its advantages and disadvantages. FDMA divides the frequency band into channels that can be assigned to individual users. TDMA divides each channel into time slots that can be assigned to users. CDMA allows all users to use the whole available bandwidth simultaneously by using unique codes to distinguish users.
Massive MIMO (also known as “Large-Scale Antenna Systems”, “Very Large MIMO”, “Hyper MIMO”, “Full-Dimension MIMO” and “ARGOS”) makes a clean break with current practice through the use of a large excess of service-antennas over active terminals and time division duplex operation. Extra antennas help by focusing energy into ever-smaller regions of space to bring huge improvements in throughput and radiated energy efficiency. Other benefits of massive MIMO include the extensive use of inexpensive low-power components, reduced latency, simplification of the media access control (MAC) layer, and robustness to intentional jamming. The anticipated throughput depend on the propagation environment providing asymptotically orthogonal channels to the terminals, but so far experiments have not disclosed any limitations in this regard. While massive MIMO renders many traditional research problems irrelevant, it uncovers entirely new problems that urgently need attention: the challenge of making many low-cost low-precision components that work effectively together, acquisition and synchronization for newly-joined terminals, the exploitation of extra degrees of freedom provided by the excess of service-antennas, reducing internal power consumption to achieve total energy efficiency reductions, and finding new deployment scenarios.
Design and Simulation Microstrip patch Antenna using CST Microwave StudioAymen Al-obaidi
The document describes the design and simulation of a microstrip patch antenna in CST Microwave Studio. It begins with an introduction to microstrip patch antennas and their applications. Then, it outlines the theoretical design of a rectangular patch antenna for 2.4 GHz WiFi using transmission line equations. Finally, it details the simulation process in CST Microwave Studio, including adding the patch, feedline, substrate and ground plane, assigning materials and frequencies, setting up the port and monitors, and solving to obtain results like the bandwidth and radiation pattern.
An antenna converts electric power into radio waves and vice versa. There are two main categories of antennas - omnidirectional antennas that radiate in all directions, and directional antennas that preferentially radiate in a particular direction. Key parameters that define antennas include frequency, directivity, efficiency, gain, wavelength, and polarization. Common types of antennas discussed are Yagi antennas, log-periodic antennas, horn antennas, loop antennas, and parabolic antennas.
The Junctionless Transistor - George J. Ferko VGeorge Ferko
The document discusses the history and operation of the junctionless transistor. It begins by summarizing the limitations of conventional transistors as their size decreases and the need for an alternative. It then provides a brief history of transistor development, noting Julius Lilienfeld's early concept of a field-effect transistor in 1928. Recent work by a team at Tyndall National Institute demonstrated the first working junctionless nanowire transistor. The document concludes by explaining the theory and design of the junctionless transistor, which differs from conventional transistors by having uniform doping between the gate, channel and drain.
Introduction to finite element analysisTarun Gehlot
The document provides an introduction to finite element analysis (FEA) or the finite element method (FEM). It describes FEA as a numerical method used to solve engineering and mathematical physics problems that cannot be solved through analytical methods due to complex geometries, loadings, or material properties. FEA involves discretizing a complex model into smaller, simpler elements connected at nodes, then applying the governing equations to obtain a numerical solution for the unknown primary variable (usually displacement) at nodes. Secondary variables like stress are then determined from nodal displacements. The process involves preprocessing, solving, and postprocessing steps.
This document provides an overview of intelligent reflecting surfaces (IRS) for wireless communications. It discusses the motivation for IRS to overcome limitations in wireless channels and power consumption. The working principle of IRS is described, where IRS reflect signals in a way that changes the phase and amplitude to control propagation. The architecture of IRS is explained, including its passive reflecting elements that can independently control reflection. Advantages like improved coverage and throughput are presented. Applications, challenges, and research directions are also summarized.
Deterministic MIMO Channel Capacity
• CSI is Known to the Transmitter Side
• CSI is Not Available at the Transmitter Side
Channel Capacity of Random MIMO Channels
This presentation gives an insight about various antennas that were in use in cell phones and are being used in today's smartphones. Images of various phone models showing antenna used are included to give pictorial view of internal antennas
This document provides training materials on calculating wireless link budgets to determine the feasibility and optimal configuration of radio links. It defines key concepts like free space loss, link budget, antenna gain and Fresnel zone. An example link budget calculation is shown for a 5km link. It also introduces the Radio Mobile software tool, which can automatically simulate radio links and calculate the required Fresnel zone clearance by considering terrain profiles. The document concludes with an example of using Radio Mobile to analyze a potential link in Chuuk and poses questions about configuring the masts, transmit power and antennas.
This document analyzes and compares the performance of different adaptive beamforming techniques for smart antennas. It describes switched beamforming, which uses fixed beams, and adaptive beamforming techniques that use algorithms like LMS, SMI, RLS, CGA, CMA, and LSCMA to form an adaptive beam. It simulates these algorithms using MATLAB for a uniform linear array and compares their ability to direct the main beam towards the desired user while nulling interference. The LMS, SMI, RLS, CMA and LSCMA algorithms are found to perform well at directing the beam to the desired user, with LMS having good interference rejection and RLS providing the fastest convergence but highest computational cost.
This document describes the design and simulation of stacked printed log-periodic antenna arrays for C-band applications. Log-periodic antennas have wide bandwidth and constant impedance over frequency. The paper studies arrays with 5, 7, and 9 radiating elements to increase bandwidth. Simulation results using the method of moments show that bandwidth increases from 17.96% for a 5-element array to 31.53% for a 9-element array. Gain also increases proportionally with the number of elements. The multilayer structure and coupling slot feeding technique contribute to enhanced bandwidth performance.
Topics covered in this presentation:
1. RF spectrum and GSM specifications
2. FDMA and TDMA
3. Digital Voice Transmission
4. Channel coding, Interleaving and Burst formatting
5. GMSK
6. Frame structure of GSM
7. Corrective actions against multipath fading
The document discusses beamforming antennas and their applications. It begins by outlining beamforming concepts and configurations like phased arrays and adaptive arrays. It then discusses applications of beamforming antennas in areas like radar, sonar, communications and imaging. Specific examples covered include phased array radar, neuronal spike sorting, and smart antenna systems for wireless networks. Vector antennas and their advantages over phased arrays are also summarized. Finally, the document discusses potential uses and challenges of beamforming antennas for wireless ad hoc networks.
Interference limits the capacity of cellular radio systems by creating bottlenecks that reduce performance. The two primary types of interference are co-channel interference, which occurs between cells using the same frequencies, and adjacent channel interference, which occurs between nearby frequency channels. Managing interference is important for cellular system design in order to minimize cross-talk and missed/blocked calls.
This document contains several examples of using Mason's Rule to calculate transfer functions from signal flow graphs. The examples demonstrate applying Mason's Rule to calculate loop gains, forward path gains, non-touching loops, and the overall transfer function. Signal flow graphs are constructed from block diagrams and the steps of Mason's Rule are systematically worked through.
Low power VLSI design has become important due to increasing integration leading to higher power consumption. Low power design is essential for handheld devices to allow long battery life and better performance. There are various techniques for low power design including reducing supply voltage, minimizing capacitance and switching activity, and employing strategies like clock gating and power gating. Low power design can be achieved at different levels from system to logic to physical design.
Sangram Keshari Nayak presented a technical seminar on W-CDMA at the National Institute of Science and Technology. W-CDMA stands for Wideband Code Division Multiple Access, which is a 3G network that uses a 5MHz carrier spectrum and has higher capacity than previous networks. The presentation covered topics such as how CDMA works, the differences between CDMA variants, W-CDMA characteristics and parameters, design issues like turbo coding and interference cancellation, the WCDMA system, radio network functionality including power control and soft handover, and upgrading from GSM to WCDMA networks.
The document discusses several key challenges in physical design for semiconductor chips. It outlines general challenges faced in analog, digital, and mixed-signal design such as manufacturing technology limitations, leakage power, interconnect delay, and congestion. Specific issues discussed in more detail include routing congestion, IR drop causing voltage variations, crosstalk interference, scaling challenges between different process nodes, and thermal issues in 3D chip design involving through-silicon vias. The document provides an overview of design objectives to optimize power, timing, area, and yield against these physical implementation challenges.
Performance analysis of adaptive noise canceller for an ecg signalRaj Kumar Thenua
In numerous applications of signal processing, communications and biomedical we are faced with the necessity to remove noise and distortion from the signals. Adaptive filtering is one of the most important areas in digital signal processing to remove background noise and distortion. In last few years various adaptive algorithms are developed for noise cancellation. In this paper we present an implementation of LMS (Least Mean Square), NLMS (Normalized Least Mean Square) and RLS (Recursive Least Square) algorithms on MATLAB platform with the intention to compare their performance in noise cancellation. We simulate the adaptive filter in MATLAB with a noisy ECG signal and analyze the performance of algorithms in terms of MSE (Mean Squared Error), SNR Improvement, computational complexity and stability. The obtained results shows that RLS has the best performance but at the cost of large computational complexity and memory requirement.
This document discusses multiple access techniques for wireless communications, including FDMA, TDMA, and CDMA. It provides details on how each technique works and its advantages and disadvantages. FDMA divides the frequency band into channels that can be assigned to individual users. TDMA divides each channel into time slots that can be assigned to users. CDMA allows all users to use the whole available bandwidth simultaneously by using unique codes to distinguish users.
Massive MIMO (also known as “Large-Scale Antenna Systems”, “Very Large MIMO”, “Hyper MIMO”, “Full-Dimension MIMO” and “ARGOS”) makes a clean break with current practice through the use of a large excess of service-antennas over active terminals and time division duplex operation. Extra antennas help by focusing energy into ever-smaller regions of space to bring huge improvements in throughput and radiated energy efficiency. Other benefits of massive MIMO include the extensive use of inexpensive low-power components, reduced latency, simplification of the media access control (MAC) layer, and robustness to intentional jamming. The anticipated throughput depend on the propagation environment providing asymptotically orthogonal channels to the terminals, but so far experiments have not disclosed any limitations in this regard. While massive MIMO renders many traditional research problems irrelevant, it uncovers entirely new problems that urgently need attention: the challenge of making many low-cost low-precision components that work effectively together, acquisition and synchronization for newly-joined terminals, the exploitation of extra degrees of freedom provided by the excess of service-antennas, reducing internal power consumption to achieve total energy efficiency reductions, and finding new deployment scenarios.
Design and Simulation Microstrip patch Antenna using CST Microwave StudioAymen Al-obaidi
The document describes the design and simulation of a microstrip patch antenna in CST Microwave Studio. It begins with an introduction to microstrip patch antennas and their applications. Then, it outlines the theoretical design of a rectangular patch antenna for 2.4 GHz WiFi using transmission line equations. Finally, it details the simulation process in CST Microwave Studio, including adding the patch, feedline, substrate and ground plane, assigning materials and frequencies, setting up the port and monitors, and solving to obtain results like the bandwidth and radiation pattern.
An antenna converts electric power into radio waves and vice versa. There are two main categories of antennas - omnidirectional antennas that radiate in all directions, and directional antennas that preferentially radiate in a particular direction. Key parameters that define antennas include frequency, directivity, efficiency, gain, wavelength, and polarization. Common types of antennas discussed are Yagi antennas, log-periodic antennas, horn antennas, loop antennas, and parabolic antennas.
The Junctionless Transistor - George J. Ferko VGeorge Ferko
The document discusses the history and operation of the junctionless transistor. It begins by summarizing the limitations of conventional transistors as their size decreases and the need for an alternative. It then provides a brief history of transistor development, noting Julius Lilienfeld's early concept of a field-effect transistor in 1928. Recent work by a team at Tyndall National Institute demonstrated the first working junctionless nanowire transistor. The document concludes by explaining the theory and design of the junctionless transistor, which differs from conventional transistors by having uniform doping between the gate, channel and drain.
Introduction to finite element analysisTarun Gehlot
The document provides an introduction to finite element analysis (FEA) or the finite element method (FEM). It describes FEA as a numerical method used to solve engineering and mathematical physics problems that cannot be solved through analytical methods due to complex geometries, loadings, or material properties. FEA involves discretizing a complex model into smaller, simpler elements connected at nodes, then applying the governing equations to obtain a numerical solution for the unknown primary variable (usually displacement) at nodes. Secondary variables like stress are then determined from nodal displacements. The process involves preprocessing, solving, and postprocessing steps.
This document provides an overview of intelligent reflecting surfaces (IRS) for wireless communications. It discusses the motivation for IRS to overcome limitations in wireless channels and power consumption. The working principle of IRS is described, where IRS reflect signals in a way that changes the phase and amplitude to control propagation. The architecture of IRS is explained, including its passive reflecting elements that can independently control reflection. Advantages like improved coverage and throughput are presented. Applications, challenges, and research directions are also summarized.
Deterministic MIMO Channel Capacity
• CSI is Known to the Transmitter Side
• CSI is Not Available at the Transmitter Side
Channel Capacity of Random MIMO Channels
This presentation gives an insight about various antennas that were in use in cell phones and are being used in today's smartphones. Images of various phone models showing antenna used are included to give pictorial view of internal antennas
This document provides training materials on calculating wireless link budgets to determine the feasibility and optimal configuration of radio links. It defines key concepts like free space loss, link budget, antenna gain and Fresnel zone. An example link budget calculation is shown for a 5km link. It also introduces the Radio Mobile software tool, which can automatically simulate radio links and calculate the required Fresnel zone clearance by considering terrain profiles. The document concludes with an example of using Radio Mobile to analyze a potential link in Chuuk and poses questions about configuring the masts, transmit power and antennas.
This document analyzes and compares the performance of different adaptive beamforming techniques for smart antennas. It describes switched beamforming, which uses fixed beams, and adaptive beamforming techniques that use algorithms like LMS, SMI, RLS, CGA, CMA, and LSCMA to form an adaptive beam. It simulates these algorithms using MATLAB for a uniform linear array and compares their ability to direct the main beam towards the desired user while nulling interference. The LMS, SMI, RLS, CMA and LSCMA algorithms are found to perform well at directing the beam to the desired user, with LMS having good interference rejection and RLS providing the fastest convergence but highest computational cost.
This document describes the design and simulation of stacked printed log-periodic antenna arrays for C-band applications. Log-periodic antennas have wide bandwidth and constant impedance over frequency. The paper studies arrays with 5, 7, and 9 radiating elements to increase bandwidth. Simulation results using the method of moments show that bandwidth increases from 17.96% for a 5-element array to 31.53% for a 9-element array. Gain also increases proportionally with the number of elements. The multilayer structure and coupling slot feeding technique contribute to enhanced bandwidth performance.
Capacity Enhancement of MIMO-OFDM System in Rayleigh Fading ChannelIOSR Journals
MIMO-OFDM system in Rayleigh Fading Channel is very popular technique for mobile
communication now a day’s for research. Here we want increase the capacity of MIMO-OFDM of system by
using adaptive modulation, Algebraic Space-Time Codes (ASTC) encoder for MIMO Systems are based on
quaternion algebras .we found that ergodic capacity has some limitation which reduce the system’s
performance to overcome this we use ASTC code . ASTC code are full rank, full rate and non vanishing constant
minimum determinant for increasing spectral efficiency and reducing Peak to Average Power Ratio (PAPR) .
Capacity Enhancement of MIMO-OFDM System in Rayleigh Fading ChannelIOSR Journals
Abstract: MIMO-OFDM system in Rayleigh Fading Channel is very popular technique for mobile communication now a day’s for research. Here we want increase the capacity of MIMO-OFDM of system by using adaptive modulation, Algebraic Space-Time Codes (ASTC) encoder for MIMO Systems are based on quaternion algebras .we found that ergodic capacity has some limitation which reduce the system’s performance to overcome this we use ASTC code . ASTC code are full rank, full rate and non vanishing constant minimum determinant for increasing spectral efficiency and reducing Peak to Average Power Ratio (PAPR) . Keywords— Adaptive modulation ASTC code, Capacity, BER, Ergodic capacity, PAPR, Spectral Efficiency and SNR
IRJET- Design and Performance Analysis of Linear ArrayIRJET Journal
This document describes the design and performance analysis of linear antenna arrays using different substrate materials at 2.4GHz. Single element and two element arrays were designed using FR-4 and Arlon AD250 substrates. Four element arrays were also designed using both materials. Simulation results showed that arrays using Arlon substrate achieved better gain and lower side lobe levels compared to FR-4. When the four element Arlon array was optimized, it achieved a gain of 13.3dB, side lobe level of -15.4dB, and bandwidth of 55.6MHz. In conclusion, Arlon material provided better performance but FR-4 achieved higher bandwidth for four element arrays.
IRJET - Design and Performance Analysis of Linear ArrayIRJET Journal
This document describes the design and performance analysis of linear antenna arrays using different substrate materials at 2.4GHz. A single rectangular patch antenna is first designed using FR-4 substrate. Then two and four element linear arrays are designed using corporate feeding. Simulations show the single patch has a gain of 3.23dB and bandwidth of 68MHz. The two element array has a gain of 5.71dB and bandwidth of 77.2MHz. The four element FR-4 array has a gain of 7.99dB and bandwidth of 176.89MHz. Arlon AD250 substrate is also used, showing increased gain for single, two and four element designs compared to FR-4. The optimized four element Arlon array has
An ultra wideband antenna for Ku band applicationsIJECEIAES
This paper presents a candidate ultra wideband antenna for Ku-band wireless communi- cations applications, analyzed and optimized by the finite element method (FEM). This three-dimensional modeling was realized and compared with published antennas for val- idate the performances of the proposed antenna. Its design is based on the insertion o fseveral symmetrical slots of different sizes on the ground plane of a mono-layer patch antenna to overcome the main limitation of the narrow bandwidth of patch antennas. The proposed antenna, made on an FR-4 epoxy mono-layer substrate with a defected ground plane (dielectric constant εr = 4,4, loss tangent tan δ = 0,02 and thickness hs = 1.6 mm). The simulated numerical results obtained are very satisfying; Bandwidth = 10.48 GHz from f1 = 9.34 GHz to f2 = 19.82 GHz, S11 = -34.17 dB, Voltage Stationary Wave Ratio VSWR = 1.04 , Gain = 6.27 dB.
Implementation of Digital Beamforming Technique for Linear Antenna Arraysijsrd.com
A digital Beamforming technique used for increased channel capacity and also increased signal to noise and interference ratio. In smart antenna, different type of radiation pattern of an antenna can be changed either by selecting appropriate weights or by changing the array geometry. This paper presented based on auxiliary phase algorithm by using this algorithm in linear antenna array determine the array pattern approximating the auxiliary function in both amplitude and phase. Cost function involving auxiliary function and array pattern is minimized by modifying the pattern.
Performance Analysis of PAPR Reduction in MIMO-OFDMIJARBEST JOURNAL
Authors: Jayaraman.G1, VeeraKumar K2, Selvakani.S3
Abstract— In communication system, it is aimed to provide highest possible
transmission rate at the lowest possible power and with the least possible noise. MIMOOFDM
has been chosen for high data rate communications and widely deployed in many
wireless communication standards. The major drawback in OFDM signal transmission is
high PAPR. In previous, use clipping technique to tackle this problem. In this paper, use
EM-GAMP algorithm to reduce PAPR in considerable amount.
Real Time Implementation of Adaptive Beam former for Phased Array Radar over ...CSCJournals
Mechanical positioners, rotating antennas and large size of early generation radars limited the capability of the radar system to track laterally accelerating targets. Electronic Scanning Array (ESA) such as used in Phased Array Radar (PAR) overcomed these limitations by providing beam agility, good response time, variable scan rates and efficient use of energy. Early PAR systems used analog phase shifting schemes that caused variations and component failures resulting in overall degradation of radar performance. With the advent of new technology and high performance embedded systems, digital beamforming has become powerful enough to perform massive operations required for real time digital beamforming. MATLAB simulation of adaptive beamformer is presented in this paper. Real time implementation of adaptive beamformer over DSP kit (TMS320C6713) was also carried out and results were compared with MATLAB simulations. GUI was also made in MATLAB for viewing results of real time implementation via real time data exchange. Developed system can be used in digital beamforming PAR provided array signals is routed to DSP kit through FPGA interfaced to high speed ADC’s.
Simulation of an adaptive digital beamformer using matlabIJARBEST JOURNAL
Beam forming is the process of combining the weighted signals received on an array of
sensors to improve the directionality. Adaptive beamforming is the ability of the beamformer to
receive the signal only from the desired direction and to reject all other signals from undesired
directions. The weight vector for the adaptive beamformer continuously changes based on some
adaptive algorithm. Therefore, adaptive digital beam formers can point the antenna to the signal
direction without changing the physical architecture of the array antenna. The beam pointing
direction can be varied electronically with this technique. This paper focuses on Least Mean
Square (LMS) adaptive algorithm.
Design of rectangular patch antenna array using advanced design methodologyIISRT
This document describes the design of rectangular patch antenna arrays. It discusses designing a single patch element, including selecting substrate properties and calculating patch dimensions. It then covers array design, including arranging elements with proper spacing and designing feed networks. Specifically, it presents the design of 1x2 and 2x2 rectangular patch antenna arrays. The key parameters discussed are return loss, VSWR, and impedance matching using techniques like quarter-wave transformers. Simulation results showing return loss and Smith charts are presented to validate the designed arrays operate as intended around 2.4GHz.
Design of rectangular patch antenna array using advanced design methodologyRamesh Patriotic
This document describes the design of rectangular patch antenna arrays. It discusses designing a single rectangular patch element, including selecting substrate properties and calculating patch dimensions. It then covers array design, including arranging elements with proper spacing and designing feed networks. Specifically, it presents the design of 1x2, 2x2, and 1x4 rectangular patch antenna arrays. Simulation results show the return loss and Smith charts for each array, indicating good impedance matching at the target frequency of 2.4GHz. Radiation patterns are also presented, demonstrating the increase in gain and directivity provided by antenna arrays.
Iisrt 3-design of rectangular patch antenna array using advanced design metho...IISRTJournals
This document describes the design of rectangular patch antenna arrays. It discusses designing a single patch element and determining its physical parameters. It then covers designing 1x2 and 2x2 array configurations using rectangular patches. The feed networks are designed using quarter-wave transformers to match impedances. Simulation results show the return loss and Smith charts with deep S11 values at the operating frequency of 2.4GHz, indicating good impedance matching.
This document discusses the design and simulation of low noise amplifier (LNA) circuits with different matching circuit combinations at the input and output sides. It compares the performance of LNA circuits using 'T' and 'L' type matching networks. The circuits are simulated using Advanced Design System (ADS) software. Simulation results show that the T-L matching configuration provides better gain and noise figure than L-L, L-T, and T-T matching under stability conditions. Specifically, the T-L matching achieved a forward gain of 14.14 dB and noise figure of 1.81 dB, outperforming the other matching configurations. Stabilization circuits are also applied and analyzed.
A CPW-fed Rectangular Patch Antenna for WLAN/WiMAX ApplicationsIDES Editor
This paper presents a CPW fed Rectangular
shaped patch antenna for the frequency 3.42GHz which
falls in WiMAX and 5.25GHz for WLAN applications.
The measured -10dB impedance bandwidth is about
650MHz (2.98GHz-3.63GHz) for WiMAX and 833MHz
(4.95GHz-5.78GHz) for WLAN applications. The effect of
slot width, rectangular patch height, and substrate
dielectric constant have been evaluated. The results of
antenna are simulated by using Zeeland’s MOM based
IE3D tool. Two dimensional radiation patterns with
elevation and azimuth angles, VSWR<2, Return loss of
-24dB and -18dB for WiMAX and WLAN applications,
antenna efficiency about 90%, gain above 3.5dB are
obtained. The compact aperture area of the antenna is
46.2 X 41.66 mm2.
Design of an Adaptive Hearing Aid Algorithm using Booth-Wallace Tree MultiplierWaqas Tariq
The paper presents FPGA implementation of a spectral sharpening process suitable for speech enhancement and noise reduction algorithms for digital hearing aids. Booth and Booth Wallace multiplier is used for implementing digital signal processing algorithms in hearing aids. VHDL simulation results confirm that Booth Wallace multiplier is hardware efficient and performs faster than Booth’s multiplier. Booth Wallace multiplier consumes 40% less power compared to Booth multiplier. A novel digital hearing aid using spectral sharpening filter employing booth Wallace multiplier is proposed. The results reveal that the hardware requirement for implementing hearing aid using Booth Wallace multiplier is less when compared with that of a booth multiplier. Furthermore it is also demonstrated that digital hearing aid using Booth Wallace multiplier consumes less power and performs better in terms of speed.
IRJET- Performance Evaluation of DOA Estimation using MUSIC and Beamformi...IRJET Journal
This document presents a simulation study comparing the MUSIC algorithm and LMS adaptive beamforming algorithm for direction of arrival (DOA) estimation and beamforming in a smart antenna system. The MUSIC algorithm uses eigendecomposition to estimate the DOA of multiple signals and finds the position location of the desired user. The LMS algorithm then adapts the beam pattern by adjusting weights to maximize gain towards the desired user while nulling interference from other directions. The simulation results show sharp peaks in the MUSIC spectrum to accurately locate the desired user and deep nulls in the LMS beam pattern to suppress interference.
A robust doa–based smart antenna processor for gsm base stationsmarwaeng
This document summarizes a robust smart antenna processor for GSM base stations that uses direction-of-arrival (DOA) estimation. It estimates DOAs in the uplink using multiple algorithms, including unitary ESPRIT and Capon's beamformer. It then tracks DOAs separately for uplink and downlink to form antenna patterns that suppress interference. By adapting weights within each GSM frame, it provides up to a 35dB improvement in signal-to-noise-and-interference ratio and outperforms conventional beamformers that place sharp nulls.
IJCER (www.ijceronline.com) International Journal of computational Engineerin...ijceronline
This document summarizes a research paper on echo cancellation using adaptive combination of normalized subband adaptive filters (NSAFs). The paper proposes using adaptive combination of NSAFs to achieve both fast convergence and low steady-state mean squared error. The input signal is divided into subbands, and NSAFs are adapted independently in each subband. Adaptive combination is then performed by adapting a mixing parameter that controls the combination of subband outputs. Experimental results show the proposed method achieves improved performance over conventional NSAF methods using fewer adaptive filters.
Similar to M.Tech Thesis Defense Presentation (20)
DEEP LEARNING FOR SMART GRID INTRUSION DETECTION: A HYBRID CNN-LSTM-BASED MODELgerogepatton
As digital technology becomes more deeply embedded in power systems, protecting the communication
networks of Smart Grids (SG) has emerged as a critical concern. Distributed Network Protocol 3 (DNP3)
represents a multi-tiered application layer protocol extensively utilized in Supervisory Control and Data
Acquisition (SCADA)-based smart grids to facilitate real-time data gathering and control functionalities.
Robust Intrusion Detection Systems (IDS) are necessary for early threat detection and mitigation because
of the interconnection of these networks, which makes them vulnerable to a variety of cyberattacks. To
solve this issue, this paper develops a hybrid Deep Learning (DL) model specifically designed for intrusion
detection in smart grids. The proposed approach is a combination of the Convolutional Neural Network
(CNN) and the Long-Short-Term Memory algorithms (LSTM). We employed a recent intrusion detection
dataset (DNP3), which focuses on unauthorized commands and Denial of Service (DoS) cyberattacks, to
train and test our model. The results of our experiments show that our CNN-LSTM method is much better
at finding smart grid intrusions than other deep learning algorithms used for classification. In addition,
our proposed approach improves accuracy, precision, recall, and F1 score, achieving a high detection
accuracy rate of 99.50%.
Optimizing Gradle Builds - Gradle DPE Tour Berlin 2024Sinan KOZAK
Sinan from the Delivery Hero mobile infrastructure engineering team shares a deep dive into performance acceleration with Gradle build cache optimizations. Sinan shares their journey into solving complex build-cache problems that affect Gradle builds. By understanding the challenges and solutions found in our journey, we aim to demonstrate the possibilities for faster builds. The case study reveals how overlapping outputs and cache misconfigurations led to significant increases in build times, especially as the project scaled up with numerous modules using Paparazzi tests. The journey from diagnosing to defeating cache issues offers invaluable lessons on maintaining cache integrity without sacrificing functionality.
A SYSTEMATIC RISK ASSESSMENT APPROACH FOR SECURING THE SMART IRRIGATION SYSTEMSIJNSA Journal
The smart irrigation system represents an innovative approach to optimize water usage in agricultural and landscaping practices. The integration of cutting-edge technologies, including sensors, actuators, and data analysis, empowers this system to provide accurate monitoring and control of irrigation processes by leveraging real-time environmental conditions. The main objective of a smart irrigation system is to optimize water efficiency, minimize expenses, and foster the adoption of sustainable water management methods. This paper conducts a systematic risk assessment by exploring the key components/assets and their functionalities in the smart irrigation system. The crucial role of sensors in gathering data on soil moisture, weather patterns, and plant well-being is emphasized in this system. These sensors enable intelligent decision-making in irrigation scheduling and water distribution, leading to enhanced water efficiency and sustainable water management practices. Actuators enable automated control of irrigation devices, ensuring precise and targeted water delivery to plants. Additionally, the paper addresses the potential threat and vulnerabilities associated with smart irrigation systems. It discusses limitations of the system, such as power constraints and computational capabilities, and calculates the potential security risks. The paper suggests possible risk treatment methods for effective secure system operation. In conclusion, the paper emphasizes the significant benefits of implementing smart irrigation systems, including improved water conservation, increased crop yield, and reduced environmental impact. Additionally, based on the security analysis conducted, the paper recommends the implementation of countermeasures and security approaches to address vulnerabilities and ensure the integrity and reliability of the system. By incorporating these measures, smart irrigation technology can revolutionize water management practices in agriculture, promoting sustainability, resource efficiency, and safeguarding against potential security threats.
Introduction- e - waste – definition - sources of e-waste– hazardous substances in e-waste - effects of e-waste on environment and human health- need for e-waste management– e-waste handling rules - waste minimization techniques for managing e-waste – recycling of e-waste - disposal treatment methods of e- waste – mechanism of extraction of precious metal from leaching solution-global Scenario of E-waste – E-waste in India- case studies.
Understanding Inductive Bias in Machine LearningSUTEJAS
This presentation explores the concept of inductive bias in machine learning. It explains how algorithms come with built-in assumptions and preferences that guide the learning process. You'll learn about the different types of inductive bias and how they can impact the performance and generalizability of machine learning models.
The presentation also covers the positive and negative aspects of inductive bias, along with strategies for mitigating potential drawbacks. We'll explore examples of how bias manifests in algorithms like neural networks and decision trees.
By understanding inductive bias, you can gain valuable insights into how machine learning models work and make informed decisions when building and deploying them.
Electric vehicle and photovoltaic advanced roles in enhancing the financial p...IJECEIAES
Climate change's impact on the planet forced the United Nations and governments to promote green energies and electric transportation. The deployments of photovoltaic (PV) and electric vehicle (EV) systems gained stronger momentum due to their numerous advantages over fossil fuel types. The advantages go beyond sustainability to reach financial support and stability. The work in this paper introduces the hybrid system between PV and EV to support industrial and commercial plants. This paper covers the theoretical framework of the proposed hybrid system including the required equation to complete the cost analysis when PV and EV are present. In addition, the proposed design diagram which sets the priorities and requirements of the system is presented. The proposed approach allows setup to advance their power stability, especially during power outages. The presented information supports researchers and plant owners to complete the necessary analysis while promoting the deployment of clean energy. The result of a case study that represents a dairy milk farmer supports the theoretical works and highlights its advanced benefits to existing plants. The short return on investment of the proposed approach supports the paper's novelty approach for the sustainable electrical system. In addition, the proposed system allows for an isolated power setup without the need for a transmission line which enhances the safety of the electrical network
Redefining brain tumor segmentation: a cutting-edge convolutional neural netw...IJECEIAES
Medical image analysis has witnessed significant advancements with deep learning techniques. In the domain of brain tumor segmentation, the ability to
precisely delineate tumor boundaries from magnetic resonance imaging (MRI)
scans holds profound implications for diagnosis. This study presents an ensemble convolutional neural network (CNN) with transfer learning, integrating
the state-of-the-art Deeplabv3+ architecture with the ResNet18 backbone. The
model is rigorously trained and evaluated, exhibiting remarkable performance
metrics, including an impressive global accuracy of 99.286%, a high-class accuracy of 82.191%, a mean intersection over union (IoU) of 79.900%, a weighted
IoU of 98.620%, and a Boundary F1 (BF) score of 83.303%. Notably, a detailed comparative analysis with existing methods showcases the superiority of
our proposed model. These findings underscore the model’s competence in precise brain tumor localization, underscoring its potential to revolutionize medical
image analysis and enhance healthcare outcomes. This research paves the way
for future exploration and optimization of advanced CNN models in medical
imaging, emphasizing addressing false positives and resource efficiency.
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECTjpsjournal1
The rivalry between prominent international actors for dominance over Central Asia's hydrocarbon
reserves and the ancient silk trade route, along with China's diplomatic endeavours in the area, has been
referred to as the "New Great Game." This research centres on the power struggle, considering
geopolitical, geostrategic, and geoeconomic variables. Topics including trade, political hegemony, oil
politics, and conventional and nontraditional security are all explored and explained by the researcher.
Using Mackinder's Heartland, Spykman Rimland, and Hegemonic Stability theories, examines China's role
in Central Asia. This study adheres to the empirical epistemological method and has taken care of
objectivity. This study analyze primary and secondary research documents critically to elaborate role of
china’s geo economic outreach in central Asian countries and its future prospect. China is thriving in trade,
pipeline politics, and winning states, according to this study, thanks to important instruments like the
Shanghai Cooperation Organisation and the Belt and Road Economic Initiative. According to this study,
China is seeing significant success in commerce, pipeline politics, and gaining influence on other
governments. This success may be attributed to the effective utilisation of key tools such as the Shanghai
Cooperation Organisation and the Belt and Road Economic Initiative.
CHINA’S GEO-ECONOMIC OUTREACH IN CENTRAL ASIAN COUNTRIES AND FUTURE PROSPECT
M.Tech Thesis Defense Presentation
1. Energy Efficient ACE-SI-based Hybrid Precoding
for SWIPT-Enabled Massive MIMO-NOMA
Systems
Deeptanu Datta
Roll No. :- 1811EE05
Guided by :- Dr. Sudhir Kumar
Department of Electrical Engineering
Indian Institute of Technology, Patna
June 25, 2020 1 / 52
2. Outlines
Literature Survey
Motivation
Contributions
Classification of Precoding
Hybrid Precoding
Structures
Model Description
SI-operation
Optimum A and D
ACE Algorithm
Updating Formula
Simulation Results and
Discussions
Optimum Precoding
Structure
Conclusions
Future Scope of Work
References
Publication
2 / 52
3. Literature Survey
In Beamspace MIMO [1], dominant beams are selected to
reduce RF chains.
IA-based [2], sparsity masks-based [1], SINR-based [2]
methods are commonly used in literature to select beams.
RF chains are also reduced by hybrid precoding - can be
realized by analog phase shifters or switches [1].
[3, 2, 3, 1, 2] uses APSs to implement hybrid precoding.
[1, 3] uses switches and/or inverters in hybrid precoding.
3 / 52
4. Literature Survey (contd...)
Energy-efficient SIC-based HP is proposed for mmWave
massive MIMO systems in [3], where sum-rate problem is
splitted into sub-rate problems for each array.
Adaptive HP is proposed in [1], where phases of all APSs are
jointly optimized to maximize spectral efficiency.
In [3], ML-estimated AoDs are used to design precoding
vectors in mmWave MIMO systems.
PZF-HP is proposed in [2] for MU massive MIMO systems
4 / 52
5. Literature Survey (contd...)
In [1], analog precoder is designed for MIMO-OMA systems
using SIs, whose parameters are updated by ACE algorithm.
In [3], switches are used along with APSs to reduce RF chain
in mmWave massive MIMO systems.
In [2], hybrid precoding is realized by APSs and RF adders in
SWIPT-enabled mmWave massive MIMO-NOMA systems.
5 / 52
6. Motivation
Ordinary MIMO needs large hardwares.
High complexity, cost, and power consumption [1].
Hybrid Precoding : simplifies structure : reduces RF chain
count : makes it more energy-efficient [2].
Current HP techniques uses APSs - consumes considerable
amount of power.
APSs needs to be replaced with switches and inverters to
guarantee best performance.
6 / 52
7. Contributions
Novel energy-efficient hybrid precoding is designed for
mmWave massive MIMO-NOMA systems with SWIPT.
Probability vector is updated by ACE algorithm using
smoothed updating procedure to generate both ±
1
√
N
randomly [3].
Its performance is validated by extensive simulation study of
spectral efficiency and energy efficiency against SNR.
7 / 52
9. Digital Precoding
Each antenna is connected
to individual RF chains.
Full control of amplitude
and phase of signals from
each individual antennas.
Most inefficient for massive
MIMO due to high cost and
power consumption [1].
Generally used in
conventional MIMO.
Figure: Digital Precoding
9 / 52
10. Analog Precoding
RF chains are connected
to antenna by phase
shifters [2].
Signal phases are
adjusted in RF domain to
supress interference and
increase SINR.
Boost in antenna array
gain overcomes high
pathloss at mmWave
frequency [3].
Used in sonar, radar,
IEEE 802.11 ad [1].
10 / 52
11. Hybrid Precoding
Both analog and digital precoding are combined to extract the
advantages of each.
2-stage process : fully-digital precoder is decomposed into
high-dimensional analog precoder and low-dimensional digital
precoder.
Analog Precoding is first applied across all RF paths per RF
chain to extract antenna array gain [3].
Digital Precoding is then applied across all RF chains to
supress interuser interference [3].
Widely used in mmWave massive MIMO systems.
Physically realized by a number of configurations.
11 / 52
13. Fully-connected architecture
Transmitted Signal on each RF
chain traverses through N RF
paths [3].
Each RF chain extracts
full-array gain, so maximum
spectral efficiency.
NNRF APSs and N RF adders :
high complexity, cost, power
consumption, so poor energy
efficiency [3].
Analog precoding matrix :
A = [a1 a2 · · · aNRF
]
ai = array steering vector of all
N antennas on ith RF chain. 13 / 52
14. Sub-connected architecture
Transmitted Signal on each RF
chain traverses through only
M =
N
NRF
RF paths [3].
Array gain per RF chain reduces
NRF times.
Only N APSs : lower complexity,
cost, power consumption, so better
energy efficiency [3].
Block diagonal analog precoding
matrix A = diag(ai ) ∀ i = 1 : NRF;
ai = array steering vector of all M
antennas connected to ith RF
chain.
14 / 52
15. Switch-based architecture
Recasting of sub-connected
architecture by switches.
APSs replaced with switches to
enhance energy efficiency [1].
Only NRF switches, so NRF active
antennas : array gain reduces
drastically [2].
Block diagonal
A = diag(ai ) ∀ i = 1 : NRF; all ai
has elements from set
1
√
N
{0, 1}
randomly.
15 / 52
16. SI-based architecture
Recasting of sub-connected
architecture with switches and
inverters.
One inverter and M switches
for each RF chain.
All antennas involved to extract
full-array gain - most optimum
energy-efficient structure [1].
Block diagonal
A = diag(ai ) ∀ i = 1 : NRF; all
ai has one of two elements
±
1
√
N
randomly.
16 / 52
17. System Model
MU-downlink mmWave massive MIMO-NOMA system is
considered with SI-based sub-connected architecture [3].
Users possess power splitting receiver for SWIPT [2].
To extract full multiplexing gain, G = NRF is assumed [2, 1].
NOMA enables each beam to serve multiple users K ≥ G [3].
Ki = number of users accomodated in the ith beam.
Signal received by mth user in the gth beam is
yg,m = hH
g,mA
G
i=1
di pT
i xi + ng,m
xi = transmitted signal vector of ith beam, s.t. E(xi xH
i ) = IKi
17 / 52
18. Desired, Interference, and Noise components
Expanding above equation
yg,m = hH
g,mAdg
√
pg,mxg,m + hH
g,mAdg pT
g[m]xg[m]
+ hH
g,mA
G
i=1
i=g
di pT
i xi + ng,m
First term - Desired signal component of the mth user in the
gth beam.
Second term - Interference from users of same beam -
Intrabeam Interference.
Third term - Interference from users of remaining beams -
Interbeam Interference.
Fourth term - AWGN Noise introduced by channel.
18 / 52
19. SWIPT-Enabled NOMA
Effective channel gains are sorted in descending order for all
beams i.e., |hH
i,j Adi | ≥ |hH
i,j+1Adi | ∀ j = 1 : Ki , i = 1 : G
User transmit power follows reverse order for all beams i.e.,
pi,j ≤ pi,j+1 ∀ j = 1 : Ki , i = 1 : G
Applying SIC for NOMA at the receiver [3]
yg,m = hH
g,mAdg
√
pg,mxg,m + hH
g,mAdg pT
g,{1:m−1}xg,{1:m−1}
+ hH
g,mA
G
i=1
i=g
di pT
i xi + ng,m
Received signal at ID output of mth user in gth beam is
ˆyID
g,m = yg,m
√
γg,m + nPS
g,m
19 / 52
20. Achievable sum-rate
SINR for mth user in gth beam is
(SINR)g,m =
γg,m|hH
g,mAdg |2pg,m
(NI)g,m
(NI)g,m = γg,m(|hH
g,mAdg |2 S pT
g,{1 : (m−1)} +
G
i=1
i=g
|hH
g,mAdg |2 S(pT
i ) + σn
2) + σn
2
PS
Achievable rate of mth user in gth beam
Rg,m = log2(1 + (SINR)g,m)
Spectral efficiency of the system : Rsum =
G
i = 1
(beam)
Ki
j = 1
(user)
Ri,j
20 / 52
21. Channel Model
Due to channel sparsity [1] and low SINR, Saleh-Valenzuela
geometric channel model is used [2, 1, 1, 2].
hg,m =
N
Lg,m
Lg,m
l=1
(paths)
αl
g,ma(ϕl
g,m, θl
g,m)
Net array steering vector a(ϕl , θl ) = aaz(ϕl ) ⊗ ael(θl ) ∀ l
aaz(ϕ) =
1
√
Naz
ej2πnaz
daz
λ
sin(ϕ)
T
is array steering vector in
azimuthal direction.
Equi-spaced antennas at mmWave freq. daz = del =
λ
2
[3].
21 / 52
22. SI-operation
For SI-operation, each element in a(ϕ, θ) must be ±
1
√
N
.
Net ASV for equi-spaced antennas daz = del =
λ
2
[3] is given
by a(ϕ, θ) =
1
√
N
ejπ(naz sin(ϕ)+nel sin(θ))
T
[2].
{sin(ϕ) , sin(θ)} ∈ {0, ±1}
{ϕ , θ} ∈ 0, ±
π
2
, ±π
22 / 52
23. Problem Formulation
max
A,D
Rsum
s.t. C1 : pi,j ≥ 0, ∀ i, j
C2 : pi,j ≤ pi,j+1, ∀ i, j
C3 :
G
i = 1
(beam)
Ki
j = 1
(user)
pi,j ≤ Ptr
C4 : Ri,j ≥ Rmin
i,j , ∀ i, j
C5 : PEH
i,j ≥ Pmin
i,j , ∀ i, j
C6 : ai{j} = ±
1
√
N
, ∀ i, j
23 / 52
24. Optimum A and D
Probabilistic model-based ACE algorithm is used [3].
For SI-operation, N non-zero elements obey constraint C6 in
block-diagonal A of sub-connected architecture.
Initialization :
= [aT
aT
2 . . . aT
G ]T
f = [f1 f2 . . . fN]T
∀ j = 1 : N, j is a Bernoulli random variable, such that
fj = Pr j =
1
√
N
Initially, f(itr = 0)
=
1
2
× 1N×1
24 / 52
25. ACE Algorithm
Generate E random data samples and reshape them as
matrices A.
Calculate achievable sum-rate Rsum for each sample.
Rearrange the achievable sum-rates in descending order.
Rsum(A[1]
) ≥ Rsum(A[2]
) ≥ · · · ≥ Rsum(A[E]
)
Select the elites as {A[1]
, A[2]
, A[3]
, · · · , A[Eelite]
}.
Calculate weight we of each elite ∀ e = 1 : Eelite.
Update f for next iteration using smoothed procedure.
Repeat all the above steps till f becomes binary vector [3].
25 / 52
26. Updating formula
Each elite is allocated a weight based on spectral efficiency
achieved by it.
Weight alloted to eth elite is we =
EeliteRsum(A[e])
Eelite
e=1
(Rsum(A[e]
))
These weights are used to update f in next iteration using
smoothed updating procedure as [3]
f(itr+1)
=
ξ
√
N
Eelite
Eelite
e=1
we
e(itr)
+ (1 − ξ)f(itr)
0 ≤ ξ ≤ 1 is smoothing parameter; e(itr) is vectored A of eth
elite at itrth
iteration.
26 / 52
27. Simulation Results
Table: Simulation Setup
Parameter Value
N 64
NRF 4
K 6, 10, and 12
Lg,m 3
α1
g,m (LoS) CN(0, 1)
αl
g,m ∀l = 1 (NLoS) CN(0, 0.1)
ϕl
i,j , θl
i,j 0, ±π
2 , ±π
Ptr 30 mW [2]
SNR
Ptr
σ2
n
[1]
E 100 [1]
Eelite 20 [1]
27 / 52
31. Trends in Spectral Efficiency
MIMO-NOMA systems has higher spectral efficiency than
MIMO-OMA systems due to higher spectral efficiency of
NOMA [3].
Fully digital system has highest spectral efficiency as all N RF
chains are used to serve K users concurrently to extract full
multiplexing gain [1].
Fully-connected architecture achieves higher spectral efficiency
than sub-connected architecture since each RF chain extracts
full-array gain.
The proposed ACE-SI-based sub-connected HP-NOMA
architecture has almost similar trend as APS-based
sub-connected HP-NOMA as spectral efficiency depends only
on number of users using the same resources concurrently.
31 / 52
35. Trends in Energy Efficiency
The proposed ACE-SI-based sub-connected HP-NOMA
architecture has highest energy efficiency due to use of only
energy-efficient switches and inverters in analog precoder [1].
Fully digital system has the least energy efficiency due to its
tremendous cost energy consumption [1].
Sub-connected architecture is more energy-efficient than fully
connected architecture due to fewer number of APSs.
MIMO-NOMA systems has also higher energy efficiency than
MIMO-OMA systems with the same total power consumption
due to higher spectral efficiency of NOMA [3].
EE =
Rsum
Pcons
35 / 52
36. Power Consumption Analysis
Total power consumed by a precoding structure is
Pcons = Ptr + PDP + Px
Px = power consumed by internal components of structure,
which can be calculated by Table 2.
Px relies on internal circuitry of a particular architecture.
Inverters are designed by chip with similar power rating as
switches, so PINV ≈ PSW [2].
Table: Power Consumption of different precoder components [1]
Component Notation Power Consumed (in mW)
RF chain PRF 250
Phase Shifter PPS 40
Digital precoder PDP 200
Switch PSW 5
Inverter PINV 5 36 / 52
37. Power Consumption Comparison
Table: Power Consumption of different precoding schemes
Architecture Px Pcons (in Watts)
Fully Digital NPRF 16.23
Fully-connected NRFPRF + NNRFPPS 11.47
Sub-connected NRFPRF + NPPS 3.79
Switch-based NRFPRF + NRFPSW 1.25
ACE-SI-based NRFPRF + NPSW + NRFPINV 1.57
(Proposed)
37 / 52
38. Optimum Precoding Design
As per Table 3, switch-based architecture consumes least
power to yield highest energy efficiency.
But, it can not extract full-array gain as only NRF antennas
are active [2].
The next structure consuming least power is SI-based
architecture, which extracts full-array gain [1].
So, the optimum precoding architecture is SI-based
architecture.
38 / 52
39. Conclusions
Energy-efficient ACE-SI-based hybrid precoding scheme is
proposed for SWIPT-Enabled massive MIMO-NOMA systems.
ACE algorithm is leveraged to update probability parameter
vector at each iterations to obtain better performance.
Proposed ACE-SI-based HP scheme attains near-optimal
sum-rate performance, but highest energy efficiency than
existing schemes.
39 / 52
40. Future Scope of Work
Variation of spectral and energy efficiencies against number of
RF chains can be investigated.
Variation of spectral and energy efficiencies against number of
multipath components can also be studied.
T-R separation can be optimized to design more
energy-efficient system.
40 / 52
41. Publication
Deeptanu Datta and Sudhir Kumar, ”Energy Efficient
ACE-SI-based Hybrid Precoding for SWIPT-Enabled Massive
MIMO-NOMA Systems,” IEEE Communication Letters, 2020.
(Current Status : Reject and Resubmitted)
41 / 52
42. References
Akhil Gupta and Rakesh Kumar Jha, ”A Survey of 5G Network
: Architecture and Emerging Technologies,” IEEE Access, vol.
3, pp. 1206-1232, August 2015.
A. L. Swindlehurst, E. Ayanoglu, P. Heydari, and F. Capolino,
“Millimeter-wave massive MIMO: The next wireless
revolution?,” IEEE Communication Magazine, vol. 52, no. 9,
pp. 56–62, September 2014.
Z. Pi and F. Khan, “An Introduction to Millimeter-Wave
Mobile Broadband Systems,” IEEE Communication Magazine,
vol. 49, no. 6, pp. 101–107, June 2011.
Lu Lu, Geoffrey Ye Li, A. Lee Swindlehurst, Alexei Ashikhmin,
and Rui Zhang, ”An Overview of Massive MIMO : Benefits
and Challenges,” IEEE Journal on Selected Topics in Signal
Processing, vol. 8, no. 5, pp. 742-758, October 2014.
42 / 52
43. References (contd...)
T. S. Rappaport, Shu Sun, Rimma Mayzus, Hang Zhao, Yaniv
Azar, Kevin Wang, G.N. Wong, J.K. Schulz, Mathew Samimi,
and Felix Gutierraz, “Millimeter wave mobile communications
for 5G cellular: It will work!,” IEEE Access, vol. 1, pp.
335–349, May 2013.
T. L. Marzetta, “Noncooperative cellular wireless with
unlimited numbers of base station antennas,” IEEE
Transactions on Wireless Communications, vol. 9, no. 11, pp.
3590–3600, November 2010.
Linglong Dai, Bichai Wang, Zhiguo Ding, Zhaocheng Wang,
Sheng Chen, and Lajos Hanzo, ”A Survey of Non-Orthogonal
Multiple Access for 5G,” IEEE Communications Surveys and
Tutorials, vol.20, no. 3, 3rd Quarter 2018.
43 / 52
44. References (contd...)
Lav R. Varshney, ”Transporting Information and Energy
Simultaneously,” IEEE International Symposium on
Information Theory (ISIT), Toronto, Canada, pp. 1612-1616,
July 2008.
TDP Perera, DNK Jayakody, SK Sharma, S. Chatzinotas, and
Jun Li, ”Simultaneous Wireless Information and Power
Transfer (SWIPT) : Recent Advances and Future Challenges,”
IEEE Communications Surveys and Tutorials, vol. 20, no. 1,
pp. 264-302, 1st Quarter 2018.
Ali A. Nazir, Xiangyun Zhou, Salman Durrani, and Rodney A.
Kennedy Kennedy, ”Throughput and Ergodic Capacity of
Wireless Energy Harvesting based DF Relaying Network,” IEEE
ICC 2014 - Selected Areas in Communications Symposium.
44 / 52
45. References (contd...)
R. Mendez-Rial, C. Rusu, A. Alkhateeb, N. Gonzalez-Prelcic,
and R. W. Heath, “Channel Estimation and Hybrid Combining
for mmWave : Phase shifters or switches?” in Proc. ITA
Workshops, pp. 90–97, February 2015.
A.F. Molisch, V.V. Ratnam, S Han, S.L.H. Nguyen, L. Li, and
K. Haneda, ”Hybrid Beamforming for Massive MIMO : A
Survey,” IEEE Communications Magazine, vol. 55, no. 9, pp.
134-141, September 2017.
R. Rubinstein and D. Kroese, ”The Cross-Entropy Method : A
Unified Approach to Combinatorial Optimization, Monte-Carlo
Simulation, and Machine Learning,” Springer Science and
Business Media, 2004.
45 / 52
46. References (contd...)
Fredrik Rusek, Daniel Persson, Buon Kiong Lau, Erik G.
Larsson, Thomas L. Marzetta, Ove Edfors, and Fredrik
Tufvesson, ”Scaling up MIMO : Opportunities and Challenges
with very large arrays, ” IEEE Signal Processing Magazine, vol.
30, no. 1, pp. 40-60, January 2013.
X. Gao, L. Dai, Z. Chen, Z. Wang, and Z. Zhang,
“Near-optimal beam selection for beamspace mmWave
massive MIMO systems,” IEEE Commun. Lett., vol. 20, no. 5,
pp. 1054–1057, May 2016.
X. Gao, L. Dai, S. Han, I. Chih-Lin, and R. W. Heath,
“Energy-Efficient Hybrid Analog and Digital Precoding for
mmWave MIMO Systems with Large Antenna Arrays,” IEEE
Journal on Selected Areas in Communications, vol. 34, no. 4,
pp. 998–1009, April 2016.
46 / 52
47. References (contd...)
Robert W. Heath Jr, Nuria Gonz´alez-Prelcic, Sundeep Rangan,
Wonil Roh, and Akbar M. Sayeed, ”An Overview of Signal
Processing Techniques for Millimeter Wave MIMO Systems,”
IEEE Journal of Selected Topics in Signal Processing, vol.10,
no. 3, pp. 436-453, April 2016.
R.M. Rial, C. Rusu, N.G. Prelcic, Ahmed A., and R.W. Heath,
”Hybrid MIMO Architectures for mmWave Communications:
Phase Shifters or Switches?,” IEEE Access, vol. 4, pp.
247-267, March 2016.
Shuangfeng Han, Chih-Lin I, Zhikun Xu, and Corbett Rowell,
“Large-Scale Antenna Systems with Hybrid Precoding Analog
and Digital Beamforming for Millimeter Wave 5G,” IEEE
Communication Magazine, vol. 53, no. 1, pp. 186–194,
January 2015.
47 / 52
48. References (contd...)
Gao, Dai, Han, and Chih-Lin, ”Machine Learning Inspired
Energy-Efficient Hybrid Precoding for MmWave MIMO
Systems,” IEEE ICC 2017 Wireless Communications
Symposium.
Linglong Dai, Bichai Wang, Mugen Peng, and Shanzhi Chen,
”Hybrid Precoding-Based Millimeter-Wave Massive
MIMO-NOMA with Simultaneous Wireless Information and
Power Transfer,” IEEE Journal on selected areas in
Communication, vol. 37, no-1, January 2019, pp. 131-141.
L. Dai, B. Wang, Y Yuan, S. Han, C.-L.. I, and Z. Wang,
”Non-orthogonal multiple access for 5G : Solutions, challenges,
oportunities, and future research trends,” IEEE
Communications Magazine, vol. 53, no. 9, pp. 74-81,
September 2015.
48 / 52
49. References (contd...)
A. Sayeed and J. Brady, “Beamspace MIMO for
high-dimensional multiuser communication at millimeter-wave
frequencies,” in Proc. IEEE Global Communication Conference
(GLOBECOM), pp. 3679–3684, December 2013.
Pierluigi V. Amadori and Christos Masouros, “Low
RF-Complexity Millimeter-Wave Beamspace-MIMO Systems
by Beam Selection,” IEEE Transactions on Communications,
vol. 63, no. 6, pp. 2212-2223, June 2015.
Isa H. Altoobaji and M.A. Mangoud, ”Hybrid Precoding
Design with AoD Estimation for mmWave MIMO Systems,”
IEEE MENACOMM 2018, Jounieh, pp. 1-4.
49 / 52
50. References (contd...)
B. Wang, Dai, Z. Wang, Ge, and Zhou, ”Spectrum and
Energy-Efficient Beamspace MIMO-NOMA for
Millimeter-Wave Communications Using Lens Antenna Array,”
IEEE Journal on Selected Areas in Communications, vol. 35,
no. 10, October 2017.
Rubinstein, R.Y. (1999). The simulated entropy method for
combinatorial and continuous optimization. Methodology and
Computing in Applied Probability, 2, pp. 127-190.
J.G. Proakis and Masoud Salehi, Digital Communications, 5th
Edition, McGraw Hill Publshers. ISBN-13 : 978-93-392-0479-2,
ISBN-10 : 978-93-392-0479-4, Indian Edition.
Source : https://www.wikipedia.org
50 / 52
51. References (contd...)
Xudong Zhu, Zhaocheng Wang, Linglong Dai, and Qi Wang,
”Adaptive Hybrid Precoding for Multiuser Massive MIMO,”
IEEE Communication Letters, vol. 20, no. 4, April 2016.
Le Liang, Wei Xu, and Xudong Zhu, ”Low-Complexity Hybrid
Precoding in Massive Multiuser MIMO Systems,” IEEE
Wireless Communication Letters, vol. 3, no. 6, December 2014.
A. Alkhateeb, Y-H Nam, J. Zhang, and R.W. Heath, ”Massive
MIMO combining with Switches,” IEEE Wireless
Communications Letters, vol. 5, no. 3, June 2016.
51 / 52