SlideShare a Scribd company logo
Low-Power  Owe n A .
  Rana, Ian
Digital Design
Agenda
●
    Recap
●
    Power reduction on
     Gate  level
     Architecture level
     Algorithm level
     System level




                                    2
Recap: Problems of Power Dissipation

                 Continuously increasing
                  performance demands
                 Increasing power dissipation of
                  technical devices
                 Today: power dissipation is a main
                  problem
                 High Power dissipation leads to:

 Reduced time of operation
 Reduced time of operation            High efforts for cooling
                                       High efforts for cooling
 Higher weight (batteries)
 Higher weight (batteries)            Increasing operational costs
                                       Increasing operational costs
 Reduced mobility
 Reduced mobility                     Reduced reliability
                                       Reduced reliability        3
Recap: Consumption in CMOS
   Voltage (Volt, V)            Water pressure (bar)
   Current (Ampere, A)          Water quantity per second (liter/s)
   Energy                       Amount of Water




                                                              1
                                  CL
                                                              0


                                                                  4
    Energy consumption is proportional to capacitive load!
Recap: Energy and Power
                   Power is height of curve
 Watts

                                               Approach 1

                                               Approach 2

                                           time
                   Energy is area under curve
 Watts

                                               Approach 1

                                               Approach 2

                                              time
                                                            6
Energy = Power * time for calculation = Power * Delay
Recap: Power Equations in CMOS



    P = α f CL VDD2 + VDD Ipeak (P0→1 + P1→0 ) + VDD Ileak


 Dynamic power        Short-circuit power    Leakage power
(≈ 40 - 70% today     (≈ 10 % today and       (≈ 20 – 50 %
 and decreasing           decreasing            today and
    relatively)           absolutely)          increasing)


                                                             7
Recap: Levels of Optimization




                                             8



                           nach Massoud Pedram
Recap: Logic Restructuring

  Logic restructuring: changing the topology of a logic
   network to reduce transitions

      AND: P0→1 = P0 * P1 = (1 - PAPB) * PAPB
                                                        3/16
                                                0.5 A   Y
0.5       (1-0.25)*0.25 = 3/16
  A           W        7/64 = 0.109             0.5 B                    15/256
  B                   X                                                  F
                               15/256           0.5
0.5        C                                        C
         0.5        D           F
                 0.5                            0.5 D   Z
                                                        3/16 = 0.188
   Chain implementation has a lower overall switching activity than
    tree implementation for random inputs
   BUT: Ignores glitching effects                                            9

                                                           Source: Timmernann, 2007
Recap: Input Ordering


      (1-0.5x0.2)*(0.5x0.2)=0.09     (1-0.2x0.1)*(0.2x0.1)=0.0196
0.5                                0.2
  A                                  B          X
            X
  B                                  C
                     F             0.1       A            F
0.2     C
      0.1                                  0.5
                                        AND: P0→1 = (1 - PAPB) * PAPB



      Beneficial: postponing introduction of signals with
      a high transition rate (signals with signal
      probability close to 0.5)
                                                                            10

                                                      Source: Irwin, 2000
Recap: Glitching

      A           X
      B
              C          Z



ABC   101         000

X

Z


            Unit Delay
                                                   11

                             Source: Irwin, 2000
Design Layer: Gate Level
●
    Basic elements:
     Logicgates
     Sequential elements (flipflops, latches)
●
    Behavior of elements is described in libraries




                                                 12
Dynamic Power and Device Size
 Device     Sizing (= changing gate width)
       Affects input capacitance Cin
                                                                   1.5
       Affects load capacitance Cload                                          fcircuit=1




                                                    normalized energy
       Affects dynamic power consumption Pdyn
                                                                        1
                                                                                  fcircuit=2
 Optimal     fanout factor f for Pdyn is smaller
 than for performance (especially for                                                                 fcircuit=5
 large loads)
                                                                   0.5                                  fcircuit=10
       e.g., for Cload=20, Cin=1
                                                                                                            fcircuit=20
       fcircuit = 20
                                                                        0
       fopt_energy     = 3.53                                              1    2       3        4         5         6        7
                                                                                                 fanout f
       fopt_performance = 4.47
                                                                                                                          13
 ForLow Power: avoid oversizing (f too                                                        Source: Nikolic, UCB

 big) beyond the optimal
VDD versus Delay and Power
                           6                                                         10
                           5                                                         8
       Relative Delay td




                                                                                          Relative Pdyn
                           4
                                     td                                Pdyn          6
                           3
                                                                                     4
                           2
                           1                                                         2

                           0                                                         0
                               0.8   1    1.2   1.4   1.6   1.8    2     2.2   2.4
                                            Supply voltage (VDD)


●   Delay (td) and dynamic power consumption (Pdyn) are
    functions of VDD                                                                                      14
Multiple VDD
●
    Main ideas:
       Use of different supply voltages within the same design
       High VDD for critical parts (high performance needed)
       Low VDD for non-critical parts (only low performance demands)
●
    At design phase:
       Determine critical path(s) (see upper next slide)
       High VDD for gates on those paths
       Lower VDD on the other gates (in non-critical paths)
       For low VDD: prefer gates that drive large capacitances (yields
        the largest energy benefits)
                                                                      15
●   Usually two different VDD (but more are possible)
Multiple VDD cont’d
●
    Level converters:
     Necessary, when module at lower supply drives gate at higher
      supply (step-up)
     If gate supplied with VDDL drives a gate supplied with VDDH

       then PMOS never turns off
     Possible implementation:
                                                     VDDH
           Cross-coupled PMOS transistors
           NMOS transistor operate on               VDDL            Vout
            reduced supply                 Vin
     No need of level converters for
             step-down change in voltage
     Reducing of overhead:
           Conversions at register boundaries
                                                                     16
           Embedding of inside flipflop
Data Paths
●
    Data propagate through different data paths between registers
    (flipflops - FF)
●
    Paths mostly differ in propagation delay times
●
    Frequency of clock signal (CLK) depends on path with longest
    delay  critical path




             Paths
                                              Path


                                                                    17
Data Paths: Slack




A
                           G1 ready with
B                           evaluation


Y                                           all inputs of G2
    all Inputs of G1                              arrived
          arrived
C

                                                               18
                 delay of G1 Slack for G1             time
Multiple VDD in Data Paths
●
    Minimum energy consumption when all logic paths are critical
    (same delay)
●
    Possible Algorithm: clustered voltage-scaling
       Each path starts with VDDH and switches to VDDL (blue gates)
        when slack is available
       Level conversion in flipflops at end of paths




                                         Connected with VDDL



                                             Connected with VDDH       19
Design Layer: Architecture Level
●
    Also known as Register transfer level (RTL)
●
    Base elements:
     Register structures
     Arithmetic logic units (ALU)
     Memory elements
●
     Only behavior is described
    (no inner structure)




                                                  20
Clock Gating
●
    Most popular method for power reduction of clock signals and
    functional units
●
    Gate off clock to idle functional units
●
    Logic for generation of disable signal necessary
     Higher complexity of control logic             R
                                                        Functional
     Higher power consumption                       e
                                                            unit
     Critical timing critical for avoiding of
                                                     g

       clock glitches at OR gate output
       Additional gate delay on clock signal

                                                clock
                                                        disable
                                                                                    21

                                                              Source: Irwin, 2000
Clock Gating cont’d
●
    Clock-Gating in Low-Power Flip-Flop



      D                         D    Q




    CLK


                                                            22

                                          Source: Agarwal, 2007
Clock Gating cont’d
●
    Clock gating over consideration of state in Finite-State-
    Machines (FSM)


       PI

                                                  Combinational




                                 Flip-flops
                                                     logic                      PO




              Clock
            activation   Latch
               logic
                                       Source: L. Benini and G. De Micheli,          23
      CLK                              Dynamic Power Management, Boston: Springer, 1998.
Clock Gating: Example

Without clock gating
                                          30.6mW

With clock gating
                                                                    DEU
              8.5mW                             VDE

                                                    MIF
0     5       10       15     20     25                            DSP/
                            Power [mW]
                                                                   HIF
                                             896Kb SRAM
 90% of FlipFlops clock-gated

 70% power reduction by clock-gating
                                                 MPEG4 decoder             24
                                             Source: M. Ohashi, Matsushita, 2002
Recap: VDD versus Delay and Power
                     6                                                         10
                     5                                                         8
 Relative Delay td




                                                                                    Relative Pdyn
                     4
                               td                                Pdyn          6
                     3
                                                                               4
                     2
                     1                                                         2

                     0                                                         0
                         0.8   1    1.2   1.4   1.6   1.8    2     2.2   2.4
                                      Supply voltage (VDD)



Dynamic Power can be traded by delay                                                                25
A Reference Datapath



             Register




                                                     Register
                          Combinational
Input                                                                       Output
                             logic




                           Cref
CLK
        Supply voltage                             = Vref
        Total capacitance switched per cycle       = Cref
        Clock frequency                            = fClk
        Power consumption:                  Pref   = CrefVref2fclk                26
                                                                Source: Agarwal, 2007
Thank You!!! :)

More Related Content

What's hot

シンプルモデルのトランスのモデルの種類
シンプルモデルのトランスのモデルの種類シンプルモデルのトランスのモデルの種類
シンプルモデルのトランスのモデルの種類
Tsuyoshi Horigome
 
Linear Ic Applications Jntu Model Paper{Www.Studentyogi.Com}
Linear Ic Applications Jntu Model Paper{Www.Studentyogi.Com}Linear Ic Applications Jntu Model Paper{Www.Studentyogi.Com}
Linear Ic Applications Jntu Model Paper{Www.Studentyogi.Com}
guest3f9c6b
 
G0514551
G0514551G0514551
G0514551
IOSR Journals
 
Ch1 lecture slides Chenming Hu Device for IC
Ch1 lecture slides Chenming Hu Device for IC Ch1 lecture slides Chenming Hu Device for IC
Ch1 lecture slides Chenming Hu Device for IC
Chenming Hu
 
Ec gate-2010
Ec gate-2010Ec gate-2010
Ec gate-2010
venkatatheertha
 
Ch6 lecture slides Chenming Hu Device for IC
Ch6 lecture slides Chenming Hu Device for ICCh6 lecture slides Chenming Hu Device for IC
Ch6 lecture slides Chenming Hu Device for IC
Chenming Hu
 
Ch4 lecture slides Chenming Hu Device for IC
Ch4 lecture slides Chenming Hu Device for ICCh4 lecture slides Chenming Hu Device for IC
Ch4 lecture slides Chenming Hu Device for IC
Chenming Hu
 
Ch2 lecture slides Chenming Hu Device for IC
Ch2 lecture slides Chenming Hu Device for ICCh2 lecture slides Chenming Hu Device for IC
Ch2 lecture slides Chenming Hu Device for IC
Chenming Hu
 
4.1.11 Light Demand 2 Table
4.1.11 Light Demand 2 Table4.1.11 Light Demand 2 Table
4.1.11 Light Demand 2 TableTalia Carbis
 
FR1.L09 - PREDICTIVE QUANTIZATION OF DECHIRPED SPOTLIGHT-MODE SAR RAW DATA IN...
FR1.L09 -	PREDICTIVE QUANTIZATION OF DECHIRPED SPOTLIGHT-MODE SAR RAW DATA IN...FR1.L09 -	PREDICTIVE QUANTIZATION OF DECHIRPED SPOTLIGHT-MODE SAR RAW DATA IN...
FR1.L09 - PREDICTIVE QUANTIZATION OF DECHIRPED SPOTLIGHT-MODE SAR RAW DATA IN...grssieee
 
Correlative level coding
Correlative level codingCorrelative level coding
Correlative level codingsrkrishna341
 
Modeling Chemical Reactions (in Enzyme Active Sites)
Modeling Chemical Reactions (in Enzyme Active Sites)Modeling Chemical Reactions (in Enzyme Active Sites)
Modeling Chemical Reactions (in Enzyme Active Sites)molmodbasics
 
Ch7 lecture slides Chenming Hu Device for IC
Ch7 lecture slides Chenming Hu Device for ICCh7 lecture slides Chenming Hu Device for IC
Ch7 lecture slides Chenming Hu Device for IC
Chenming Hu
 
Electromagnetic Wave
Electromagnetic WaveElectromagnetic Wave
Electromagnetic WaveYong Heui Cho
 
Linear and digital ic applications Jntu Model Paper{Www.Studentyogi.Com}
Linear and digital ic applications Jntu Model Paper{Www.Studentyogi.Com}Linear and digital ic applications Jntu Model Paper{Www.Studentyogi.Com}
Linear and digital ic applications Jntu Model Paper{Www.Studentyogi.Com}
guest3f9c6b
 

What's hot (20)

シンプルモデルのトランスのモデルの種類
シンプルモデルのトランスのモデルの種類シンプルモデルのトランスのモデルの種類
シンプルモデルのトランスのモデルの種類
 
Microwave Filter
Microwave FilterMicrowave Filter
Microwave Filter
 
Linear Ic Applications Jntu Model Paper{Www.Studentyogi.Com}
Linear Ic Applications Jntu Model Paper{Www.Studentyogi.Com}Linear Ic Applications Jntu Model Paper{Www.Studentyogi.Com}
Linear Ic Applications Jntu Model Paper{Www.Studentyogi.Com}
 
G0514551
G0514551G0514551
G0514551
 
Ch1 lecture slides Chenming Hu Device for IC
Ch1 lecture slides Chenming Hu Device for IC Ch1 lecture slides Chenming Hu Device for IC
Ch1 lecture slides Chenming Hu Device for IC
 
Transmission Line
Transmission LineTransmission Line
Transmission Line
 
Ec gate-2010
Ec gate-2010Ec gate-2010
Ec gate-2010
 
Ch6 lecture slides Chenming Hu Device for IC
Ch6 lecture slides Chenming Hu Device for ICCh6 lecture slides Chenming Hu Device for IC
Ch6 lecture slides Chenming Hu Device for IC
 
Ch4 lecture slides Chenming Hu Device for IC
Ch4 lecture slides Chenming Hu Device for ICCh4 lecture slides Chenming Hu Device for IC
Ch4 lecture slides Chenming Hu Device for IC
 
Ch1 slides-1
Ch1 slides-1Ch1 slides-1
Ch1 slides-1
 
Ch2 lecture slides Chenming Hu Device for IC
Ch2 lecture slides Chenming Hu Device for ICCh2 lecture slides Chenming Hu Device for IC
Ch2 lecture slides Chenming Hu Device for IC
 
Balaji mini proj
Balaji mini projBalaji mini proj
Balaji mini proj
 
4.1.11 Light Demand 2 Table
4.1.11 Light Demand 2 Table4.1.11 Light Demand 2 Table
4.1.11 Light Demand 2 Table
 
FR1.L09 - PREDICTIVE QUANTIZATION OF DECHIRPED SPOTLIGHT-MODE SAR RAW DATA IN...
FR1.L09 -	PREDICTIVE QUANTIZATION OF DECHIRPED SPOTLIGHT-MODE SAR RAW DATA IN...FR1.L09 -	PREDICTIVE QUANTIZATION OF DECHIRPED SPOTLIGHT-MODE SAR RAW DATA IN...
FR1.L09 - PREDICTIVE QUANTIZATION OF DECHIRPED SPOTLIGHT-MODE SAR RAW DATA IN...
 
Ch2 slides-1
Ch2 slides-1Ch2 slides-1
Ch2 slides-1
 
Correlative level coding
Correlative level codingCorrelative level coding
Correlative level coding
 
Modeling Chemical Reactions (in Enzyme Active Sites)
Modeling Chemical Reactions (in Enzyme Active Sites)Modeling Chemical Reactions (in Enzyme Active Sites)
Modeling Chemical Reactions (in Enzyme Active Sites)
 
Ch7 lecture slides Chenming Hu Device for IC
Ch7 lecture slides Chenming Hu Device for ICCh7 lecture slides Chenming Hu Device for IC
Ch7 lecture slides Chenming Hu Device for IC
 
Electromagnetic Wave
Electromagnetic WaveElectromagnetic Wave
Electromagnetic Wave
 
Linear and digital ic applications Jntu Model Paper{Www.Studentyogi.Com}
Linear and digital ic applications Jntu Model Paper{Www.Studentyogi.Com}Linear and digital ic applications Jntu Model Paper{Www.Studentyogi.Com}
Linear and digital ic applications Jntu Model Paper{Www.Studentyogi.Com}
 

Viewers also liked

What's That House
What's That HouseWhat's That House
What's That House
jmwinkler
 
Quantified Curiosity
Quantified CuriosityQuantified Curiosity
Quantified Curiosity
Amy Robinson
 
History of Computer Art XI, Pervasive Games
History of Computer Art XI, Pervasive GamesHistory of Computer Art XI, Pervasive Games
History of Computer Art XI, Pervasive Games
Thomas Dreher
 
History of Computer Art II, Cybernetic Sculptures
History of Computer Art II, Cybernetic SculpturesHistory of Computer Art II, Cybernetic Sculptures
History of Computer Art II, Cybernetic Sculptures
Thomas Dreher
 
Biomorphic Engineering
Biomorphic EngineeringBiomorphic Engineering
Biomorphic Engineering
Ian Owen Raña
 
Presentation 3
Presentation 3Presentation 3
Presentation 3
ManasviPrasad
 
Cybernetics and the Pioneers of Computer Art
Cybernetics and the Pioneers of Computer ArtCybernetics and the Pioneers of Computer Art
Cybernetics and the Pioneers of Computer Art
Thomas Dreher
 
History of Computer Art V, Computer Animation
History of Computer Art V, Computer AnimationHistory of Computer Art V, Computer Animation
History of Computer Art V, Computer Animation
Thomas Dreher
 
Deep Networks with Neuromorphic VLSI devices
Deep Networks with Neuromorphic VLSI devicesDeep Networks with Neuromorphic VLSI devices
Deep Networks with Neuromorphic VLSI devices
Giacomo Indiveri
 
Creative destrution, Economic Feasibility, and Creative Destruction: The Case...
Creative destrution, Economic Feasibility, and Creative Destruction: The Case...Creative destrution, Economic Feasibility, and Creative Destruction: The Case...
Creative destrution, Economic Feasibility, and Creative Destruction: The Case...
Jeffrey Funk
 
Introducing the Securitas Security & Safety Risk Assessment
Introducing the Securitas Security & Safety Risk AssessmentIntroducing the Securitas Security & Safety Risk Assessment
Introducing the Securitas Security & Safety Risk Assessment
Collections Trust
 
Healthy Mind
Healthy MindHealthy Mind
Healthy Mind
Amy Robinson
 
Neurosynaptic chips
Neurosynaptic chipsNeurosynaptic chips
Neurosynaptic chips
Jeffrey Funk
 
Artificial Brain - Overview 2013
Artificial Brain - Overview 2013Artificial Brain - Overview 2013
Artificial Brain - Overview 2013
Giedrius Tomas Burachas
 
Numerical Integration
Numerical IntegrationNumerical Integration
Numerical Integration
Ian Owen Raña
 
Physical Security Assessment
Physical Security AssessmentPhysical Security Assessment
Physical Security Assessment
Gary Bahadur
 
International Challenges for Technology Enhanced Learning
International Challenges for Technology Enhanced LearningInternational Challenges for Technology Enhanced Learning
International Challenges for Technology Enhanced Learning
Mike Sharples
 
Theory Of Learning For The Mobile Age - Leicester April 2007
Theory Of Learning For The Mobile Age  - Leicester April 2007Theory Of Learning For The Mobile Age  - Leicester April 2007
Theory Of Learning For The Mobile Age - Leicester April 2007Mike Sharples
 

Viewers also liked (18)

What's That House
What's That HouseWhat's That House
What's That House
 
Quantified Curiosity
Quantified CuriosityQuantified Curiosity
Quantified Curiosity
 
History of Computer Art XI, Pervasive Games
History of Computer Art XI, Pervasive GamesHistory of Computer Art XI, Pervasive Games
History of Computer Art XI, Pervasive Games
 
History of Computer Art II, Cybernetic Sculptures
History of Computer Art II, Cybernetic SculpturesHistory of Computer Art II, Cybernetic Sculptures
History of Computer Art II, Cybernetic Sculptures
 
Biomorphic Engineering
Biomorphic EngineeringBiomorphic Engineering
Biomorphic Engineering
 
Presentation 3
Presentation 3Presentation 3
Presentation 3
 
Cybernetics and the Pioneers of Computer Art
Cybernetics and the Pioneers of Computer ArtCybernetics and the Pioneers of Computer Art
Cybernetics and the Pioneers of Computer Art
 
History of Computer Art V, Computer Animation
History of Computer Art V, Computer AnimationHistory of Computer Art V, Computer Animation
History of Computer Art V, Computer Animation
 
Deep Networks with Neuromorphic VLSI devices
Deep Networks with Neuromorphic VLSI devicesDeep Networks with Neuromorphic VLSI devices
Deep Networks with Neuromorphic VLSI devices
 
Creative destrution, Economic Feasibility, and Creative Destruction: The Case...
Creative destrution, Economic Feasibility, and Creative Destruction: The Case...Creative destrution, Economic Feasibility, and Creative Destruction: The Case...
Creative destrution, Economic Feasibility, and Creative Destruction: The Case...
 
Introducing the Securitas Security & Safety Risk Assessment
Introducing the Securitas Security & Safety Risk AssessmentIntroducing the Securitas Security & Safety Risk Assessment
Introducing the Securitas Security & Safety Risk Assessment
 
Healthy Mind
Healthy MindHealthy Mind
Healthy Mind
 
Neurosynaptic chips
Neurosynaptic chipsNeurosynaptic chips
Neurosynaptic chips
 
Artificial Brain - Overview 2013
Artificial Brain - Overview 2013Artificial Brain - Overview 2013
Artificial Brain - Overview 2013
 
Numerical Integration
Numerical IntegrationNumerical Integration
Numerical Integration
 
Physical Security Assessment
Physical Security AssessmentPhysical Security Assessment
Physical Security Assessment
 
International Challenges for Technology Enhanced Learning
International Challenges for Technology Enhanced LearningInternational Challenges for Technology Enhanced Learning
International Challenges for Technology Enhanced Learning
 
Theory Of Learning For The Mobile Age - Leicester April 2007
Theory Of Learning For The Mobile Age  - Leicester April 2007Theory Of Learning For The Mobile Age  - Leicester April 2007
Theory Of Learning For The Mobile Age - Leicester April 2007
 

Similar to LOW POWER DIGITAL DESIGN

Power
PowerPower
Transformer
TransformerTransformer
Transformer
Jayaprakash P
 
Design and implementation of cyclo converter for high frequency applications
Design and implementation of cyclo converter for high frequency applicationsDesign and implementation of cyclo converter for high frequency applications
Design and implementation of cyclo converter for high frequency applications
cuashok07
 
Cyclo converter design for hf applications using h-bridge inverter
Cyclo converter design for hf applications using h-bridge inverterCyclo converter design for hf applications using h-bridge inverter
Cyclo converter design for hf applications using h-bridge inverter
cuashok07
 
「SPICEの活用方法」セミナー資料(28JAN2011) PPT
「SPICEの活用方法」セミナー資料(28JAN2011) PPT「SPICEの活用方法」セミナー資料(28JAN2011) PPT
「SPICEの活用方法」セミナー資料(28JAN2011) PPT
Tsuyoshi Horigome
 
Dynamic CMOS.pdf
Dynamic CMOS.pdfDynamic CMOS.pdf
Dynamic CMOS.pdf
RukminiKatreepalli1
 
The iot academy_embeddedsystems_training_circuitdesignpart3
The iot academy_embeddedsystems_training_circuitdesignpart3The iot academy_embeddedsystems_training_circuitdesignpart3
The iot academy_embeddedsystems_training_circuitdesignpart3
The IOT Academy
 
Chapter 15
Chapter 15Chapter 15
Chapter 15
Tha Mike
 
Chapter 10
Chapter 10Chapter 10
Chapter 10
Tha Mike
 
Advd lecture 08 -inverte rpart3
Advd   lecture 08 -inverte rpart3Advd   lecture 08 -inverte rpart3
Advd lecture 08 -inverte rpart3
Hardik Gupta
 
PWM Step-down Converter(NJM2309)
PWM Step-down Converter(NJM2309)PWM Step-down Converter(NJM2309)
PWM Step-down Converter(NJM2309)
Tsuyoshi Horigome
 
ECNG 3015 Power System Protection
ECNG 3015    Power System ProtectionECNG 3015    Power System Protection
ECNG 3015 Power System Protection
Chandrabhan Sharma
 
RF Module Design - [Chapter 6] Power Amplifier
RF Module Design - [Chapter 6]  Power AmplifierRF Module Design - [Chapter 6]  Power Amplifier
RF Module Design - [Chapter 6] Power Amplifier
Simen Li
 
PWM Buck Converter using Average Model
PWM Buck Converter using Average ModelPWM Buck Converter using Average Model
PWM Buck Converter using Average Model
Tsuyoshi Horigome
 
En528032
En528032En528032
En528032
stalinys
 
Fundamentals of power electronics [presentation slides] 2nd ed r. erickson ww
Fundamentals of power electronics [presentation slides] 2nd ed   r. erickson wwFundamentals of power electronics [presentation slides] 2nd ed   r. erickson ww
Fundamentals of power electronics [presentation slides] 2nd ed r. erickson ww
nedjaabachir
 
Multi-KilowattFlybackConverters.pptx
Multi-KilowattFlybackConverters.pptxMulti-KilowattFlybackConverters.pptx
Multi-KilowattFlybackConverters.pptx
RickMaity
 
Design of IIR filters
Design of IIR filtersDesign of IIR filters
Design of IIR filters
op205
 

Similar to LOW POWER DIGITAL DESIGN (20)

8
88
8
 
Power
PowerPower
Power
 
Transformer
TransformerTransformer
Transformer
 
Design and implementation of cyclo converter for high frequency applications
Design and implementation of cyclo converter for high frequency applicationsDesign and implementation of cyclo converter for high frequency applications
Design and implementation of cyclo converter for high frequency applications
 
Cyclo converter design for hf applications using h-bridge inverter
Cyclo converter design for hf applications using h-bridge inverterCyclo converter design for hf applications using h-bridge inverter
Cyclo converter design for hf applications using h-bridge inverter
 
「SPICEの活用方法」セミナー資料(28JAN2011) PPT
「SPICEの活用方法」セミナー資料(28JAN2011) PPT「SPICEの活用方法」セミナー資料(28JAN2011) PPT
「SPICEの活用方法」セミナー資料(28JAN2011) PPT
 
Dynamic CMOS.pdf
Dynamic CMOS.pdfDynamic CMOS.pdf
Dynamic CMOS.pdf
 
The iot academy_embeddedsystems_training_circuitdesignpart3
The iot academy_embeddedsystems_training_circuitdesignpart3The iot academy_embeddedsystems_training_circuitdesignpart3
The iot academy_embeddedsystems_training_circuitdesignpart3
 
Chapter 15
Chapter 15Chapter 15
Chapter 15
 
Chapter 10
Chapter 10Chapter 10
Chapter 10
 
Advd lecture 08 -inverte rpart3
Advd   lecture 08 -inverte rpart3Advd   lecture 08 -inverte rpart3
Advd lecture 08 -inverte rpart3
 
PWM Step-down Converter(NJM2309)
PWM Step-down Converter(NJM2309)PWM Step-down Converter(NJM2309)
PWM Step-down Converter(NJM2309)
 
ECNG 3015 Power System Protection
ECNG 3015    Power System ProtectionECNG 3015    Power System Protection
ECNG 3015 Power System Protection
 
RF Module Design - [Chapter 6] Power Amplifier
RF Module Design - [Chapter 6]  Power AmplifierRF Module Design - [Chapter 6]  Power Amplifier
RF Module Design - [Chapter 6] Power Amplifier
 
PWM Buck Converter using Average Model
PWM Buck Converter using Average ModelPWM Buck Converter using Average Model
PWM Buck Converter using Average Model
 
En528032
En528032En528032
En528032
 
Fundamentals of power electronics [presentation slides] 2nd ed r. erickson ww
Fundamentals of power electronics [presentation slides] 2nd ed   r. erickson wwFundamentals of power electronics [presentation slides] 2nd ed   r. erickson ww
Fundamentals of power electronics [presentation slides] 2nd ed r. erickson ww
 
Power amplifier
Power amplifierPower amplifier
Power amplifier
 
Multi-KilowattFlybackConverters.pptx
Multi-KilowattFlybackConverters.pptxMulti-KilowattFlybackConverters.pptx
Multi-KilowattFlybackConverters.pptx
 
Design of IIR filters
Design of IIR filtersDesign of IIR filters
Design of IIR filters
 

Recently uploaded

STRAND 3 HYGIENIC PRACTICES.pptx GRADE 7 CBC
STRAND 3 HYGIENIC PRACTICES.pptx GRADE 7 CBCSTRAND 3 HYGIENIC PRACTICES.pptx GRADE 7 CBC
STRAND 3 HYGIENIC PRACTICES.pptx GRADE 7 CBC
kimdan468
 
2024.06.01 Introducing a competency framework for languag learning materials ...
2024.06.01 Introducing a competency framework for languag learning materials ...2024.06.01 Introducing a competency framework for languag learning materials ...
2024.06.01 Introducing a competency framework for languag learning materials ...
Sandy Millin
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
Balvir Singh
 
Chapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptxChapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptx
Mohd Adib Abd Muin, Senior Lecturer at Universiti Utara Malaysia
 
CACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdfCACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdf
camakaiclarkmusic
 
Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.
Ashokrao Mane college of Pharmacy Peth-Vadgaon
 
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
MysoreMuleSoftMeetup
 
Welcome to TechSoup New Member Orientation and Q&A (May 2024).pdf
Welcome to TechSoup   New Member Orientation and Q&A (May 2024).pdfWelcome to TechSoup   New Member Orientation and Q&A (May 2024).pdf
Welcome to TechSoup New Member Orientation and Q&A (May 2024).pdf
TechSoup
 
S1-Introduction-Biopesticides in ICM.pptx
S1-Introduction-Biopesticides in ICM.pptxS1-Introduction-Biopesticides in ICM.pptx
S1-Introduction-Biopesticides in ICM.pptx
tarandeep35
 
Group Presentation 2 Economics.Ariana Buscigliopptx
Group Presentation 2 Economics.Ariana BuscigliopptxGroup Presentation 2 Economics.Ariana Buscigliopptx
Group Presentation 2 Economics.Ariana Buscigliopptx
ArianaBusciglio
 
Unit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdfUnit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdf
Thiyagu K
 
Introduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp NetworkIntroduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp Network
TechSoup
 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
Celine George
 
The Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptxThe Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptx
DhatriParmar
 
The Challenger.pdf DNHS Official Publication
The Challenger.pdf DNHS Official PublicationThe Challenger.pdf DNHS Official Publication
The Challenger.pdf DNHS Official Publication
Delapenabediema
 
Azure Interview Questions and Answers PDF By ScholarHat
Azure Interview Questions and Answers PDF By ScholarHatAzure Interview Questions and Answers PDF By ScholarHat
Azure Interview Questions and Answers PDF By ScholarHat
Scholarhat
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
Thiyagu K
 
"Protectable subject matters, Protection in biotechnology, Protection of othe...
"Protectable subject matters, Protection in biotechnology, Protection of othe..."Protectable subject matters, Protection in biotechnology, Protection of othe...
"Protectable subject matters, Protection in biotechnology, Protection of othe...
SACHIN R KONDAGURI
 
1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx
JosvitaDsouza2
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
Jisc
 

Recently uploaded (20)

STRAND 3 HYGIENIC PRACTICES.pptx GRADE 7 CBC
STRAND 3 HYGIENIC PRACTICES.pptx GRADE 7 CBCSTRAND 3 HYGIENIC PRACTICES.pptx GRADE 7 CBC
STRAND 3 HYGIENIC PRACTICES.pptx GRADE 7 CBC
 
2024.06.01 Introducing a competency framework for languag learning materials ...
2024.06.01 Introducing a competency framework for languag learning materials ...2024.06.01 Introducing a competency framework for languag learning materials ...
2024.06.01 Introducing a competency framework for languag learning materials ...
 
Operation Blue Star - Saka Neela Tara
Operation Blue Star   -  Saka Neela TaraOperation Blue Star   -  Saka Neela Tara
Operation Blue Star - Saka Neela Tara
 
Chapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptxChapter 3 - Islamic Banking Products and Services.pptx
Chapter 3 - Islamic Banking Products and Services.pptx
 
CACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdfCACJapan - GROUP Presentation 1- Wk 4.pdf
CACJapan - GROUP Presentation 1- Wk 4.pdf
 
Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.Biological Screening of Herbal Drugs in detailed.
Biological Screening of Herbal Drugs in detailed.
 
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
Mule 4.6 & Java 17 Upgrade | MuleSoft Mysore Meetup #46
 
Welcome to TechSoup New Member Orientation and Q&A (May 2024).pdf
Welcome to TechSoup   New Member Orientation and Q&A (May 2024).pdfWelcome to TechSoup   New Member Orientation and Q&A (May 2024).pdf
Welcome to TechSoup New Member Orientation and Q&A (May 2024).pdf
 
S1-Introduction-Biopesticides in ICM.pptx
S1-Introduction-Biopesticides in ICM.pptxS1-Introduction-Biopesticides in ICM.pptx
S1-Introduction-Biopesticides in ICM.pptx
 
Group Presentation 2 Economics.Ariana Buscigliopptx
Group Presentation 2 Economics.Ariana BuscigliopptxGroup Presentation 2 Economics.Ariana Buscigliopptx
Group Presentation 2 Economics.Ariana Buscigliopptx
 
Unit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdfUnit 2- Research Aptitude (UGC NET Paper I).pdf
Unit 2- Research Aptitude (UGC NET Paper I).pdf
 
Introduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp NetworkIntroduction to AI for Nonprofits with Tapp Network
Introduction to AI for Nonprofits with Tapp Network
 
Model Attribute Check Company Auto Property
Model Attribute  Check Company Auto PropertyModel Attribute  Check Company Auto Property
Model Attribute Check Company Auto Property
 
The Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptxThe Accursed House by Émile Gaboriau.pptx
The Accursed House by Émile Gaboriau.pptx
 
The Challenger.pdf DNHS Official Publication
The Challenger.pdf DNHS Official PublicationThe Challenger.pdf DNHS Official Publication
The Challenger.pdf DNHS Official Publication
 
Azure Interview Questions and Answers PDF By ScholarHat
Azure Interview Questions and Answers PDF By ScholarHatAzure Interview Questions and Answers PDF By ScholarHat
Azure Interview Questions and Answers PDF By ScholarHat
 
Unit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdfUnit 8 - Information and Communication Technology (Paper I).pdf
Unit 8 - Information and Communication Technology (Paper I).pdf
 
"Protectable subject matters, Protection in biotechnology, Protection of othe...
"Protectable subject matters, Protection in biotechnology, Protection of othe..."Protectable subject matters, Protection in biotechnology, Protection of othe...
"Protectable subject matters, Protection in biotechnology, Protection of othe...
 
1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx1.4 modern child centered education - mahatma gandhi-2.pptx
1.4 modern child centered education - mahatma gandhi-2.pptx
 
Supporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptxSupporting (UKRI) OA monographs at Salford.pptx
Supporting (UKRI) OA monographs at Salford.pptx
 

LOW POWER DIGITAL DESIGN

  • 1. Low-Power Owe n A . Rana, Ian Digital Design
  • 2. Agenda ● Recap ● Power reduction on  Gate level  Architecture level  Algorithm level  System level 2
  • 3. Recap: Problems of Power Dissipation  Continuously increasing performance demands  Increasing power dissipation of technical devices  Today: power dissipation is a main problem  High Power dissipation leads to:  Reduced time of operation  Reduced time of operation  High efforts for cooling  High efforts for cooling  Higher weight (batteries)  Higher weight (batteries)  Increasing operational costs  Increasing operational costs  Reduced mobility  Reduced mobility  Reduced reliability  Reduced reliability 3
  • 4. Recap: Consumption in CMOS  Voltage (Volt, V) Water pressure (bar)  Current (Ampere, A) Water quantity per second (liter/s)  Energy Amount of Water 1 CL 0 4 Energy consumption is proportional to capacitive load!
  • 5. Recap: Energy and Power Power is height of curve Watts Approach 1 Approach 2 time Energy is area under curve Watts Approach 1 Approach 2 time 6 Energy = Power * time for calculation = Power * Delay
  • 6. Recap: Power Equations in CMOS P = α f CL VDD2 + VDD Ipeak (P0→1 + P1→0 ) + VDD Ileak Dynamic power Short-circuit power Leakage power (≈ 40 - 70% today (≈ 10 % today and (≈ 20 – 50 % and decreasing decreasing today and relatively) absolutely) increasing) 7
  • 7. Recap: Levels of Optimization 8 nach Massoud Pedram
  • 8. Recap: Logic Restructuring  Logic restructuring: changing the topology of a logic network to reduce transitions AND: P0→1 = P0 * P1 = (1 - PAPB) * PAPB 3/16 0.5 A Y 0.5 (1-0.25)*0.25 = 3/16 A W 7/64 = 0.109 0.5 B 15/256 B X F 15/256 0.5 0.5 C C 0.5 D F 0.5 0.5 D Z 3/16 = 0.188  Chain implementation has a lower overall switching activity than tree implementation for random inputs  BUT: Ignores glitching effects 9 Source: Timmernann, 2007
  • 9. Recap: Input Ordering (1-0.5x0.2)*(0.5x0.2)=0.09 (1-0.2x0.1)*(0.2x0.1)=0.0196 0.5 0.2 A B X X B C F 0.1 A F 0.2 C 0.1 0.5 AND: P0→1 = (1 - PAPB) * PAPB Beneficial: postponing introduction of signals with a high transition rate (signals with signal probability close to 0.5) 10 Source: Irwin, 2000
  • 10. Recap: Glitching A X B C Z ABC 101 000 X Z Unit Delay 11 Source: Irwin, 2000
  • 11. Design Layer: Gate Level ● Basic elements:  Logicgates  Sequential elements (flipflops, latches) ● Behavior of elements is described in libraries 12
  • 12. Dynamic Power and Device Size  Device Sizing (= changing gate width)  Affects input capacitance Cin 1.5  Affects load capacitance Cload fcircuit=1 normalized energy  Affects dynamic power consumption Pdyn 1 fcircuit=2  Optimal fanout factor f for Pdyn is smaller than for performance (especially for fcircuit=5 large loads) 0.5 fcircuit=10  e.g., for Cload=20, Cin=1 fcircuit=20  fcircuit = 20 0  fopt_energy = 3.53 1 2 3 4 5 6 7 fanout f  fopt_performance = 4.47 13  ForLow Power: avoid oversizing (f too Source: Nikolic, UCB big) beyond the optimal
  • 13. VDD versus Delay and Power 6 10 5 8 Relative Delay td Relative Pdyn 4 td Pdyn 6 3 4 2 1 2 0 0 0.8 1 1.2 1.4 1.6 1.8 2 2.2 2.4 Supply voltage (VDD) ● Delay (td) and dynamic power consumption (Pdyn) are functions of VDD 14
  • 14. Multiple VDD ● Main ideas:  Use of different supply voltages within the same design  High VDD for critical parts (high performance needed)  Low VDD for non-critical parts (only low performance demands) ● At design phase:  Determine critical path(s) (see upper next slide)  High VDD for gates on those paths  Lower VDD on the other gates (in non-critical paths)  For low VDD: prefer gates that drive large capacitances (yields the largest energy benefits) 15 ● Usually two different VDD (but more are possible)
  • 15. Multiple VDD cont’d ● Level converters:  Necessary, when module at lower supply drives gate at higher supply (step-up)  If gate supplied with VDDL drives a gate supplied with VDDH  then PMOS never turns off  Possible implementation: VDDH  Cross-coupled PMOS transistors  NMOS transistor operate on VDDL Vout reduced supply Vin  No need of level converters for step-down change in voltage  Reducing of overhead:  Conversions at register boundaries 16  Embedding of inside flipflop
  • 16. Data Paths ● Data propagate through different data paths between registers (flipflops - FF) ● Paths mostly differ in propagation delay times ● Frequency of clock signal (CLK) depends on path with longest delay  critical path Paths Path 17
  • 17. Data Paths: Slack A G1 ready with B evaluation Y all inputs of G2 all Inputs of G1 arrived arrived C 18 delay of G1 Slack for G1 time
  • 18. Multiple VDD in Data Paths ● Minimum energy consumption when all logic paths are critical (same delay) ● Possible Algorithm: clustered voltage-scaling  Each path starts with VDDH and switches to VDDL (blue gates) when slack is available  Level conversion in flipflops at end of paths Connected with VDDL Connected with VDDH 19
  • 19. Design Layer: Architecture Level ● Also known as Register transfer level (RTL) ● Base elements:  Register structures  Arithmetic logic units (ALU)  Memory elements ● Only behavior is described (no inner structure) 20
  • 20. Clock Gating ● Most popular method for power reduction of clock signals and functional units ● Gate off clock to idle functional units ● Logic for generation of disable signal necessary  Higher complexity of control logic R Functional  Higher power consumption e unit  Critical timing critical for avoiding of g clock glitches at OR gate output  Additional gate delay on clock signal clock disable 21 Source: Irwin, 2000
  • 21. Clock Gating cont’d ● Clock-Gating in Low-Power Flip-Flop D D Q CLK 22 Source: Agarwal, 2007
  • 22. Clock Gating cont’d ● Clock gating over consideration of state in Finite-State- Machines (FSM) PI Combinational Flip-flops logic PO Clock activation Latch logic Source: L. Benini and G. De Micheli, 23 CLK Dynamic Power Management, Boston: Springer, 1998.
  • 23. Clock Gating: Example Without clock gating 30.6mW With clock gating DEU 8.5mW VDE MIF 0 5 10 15 20 25 DSP/ Power [mW] HIF 896Kb SRAM  90% of FlipFlops clock-gated  70% power reduction by clock-gating MPEG4 decoder 24 Source: M. Ohashi, Matsushita, 2002
  • 24. Recap: VDD versus Delay and Power 6 10 5 8 Relative Delay td Relative Pdyn 4 td Pdyn 6 3 4 2 1 2 0 0 0.8 1 1.2 1.4 1.6 1.8 2 2.2 2.4 Supply voltage (VDD) Dynamic Power can be traded by delay 25
  • 25. A Reference Datapath Register Register Combinational Input Output logic Cref CLK Supply voltage = Vref Total capacitance switched per cycle = Cref Clock frequency = fClk Power consumption: Pref = CrefVref2fclk 26 Source: Agarwal, 2007

Editor's Notes

  1. f0->1 represents the energy consuming transition
  2. Look at designing for speed – 8-input AND gate. Which implementation is lower energy? Which is lower delay? So which is better overall? Also look at slide speed.19, Design Technique 3 – when deciding which configuration consumes less power and has the best performance
  3. For lecture Activity at output node, F, equal in both cases
  4. Device sizing COMBINED with supply voltage reduction is a very effective way to reduce the energy consumption of a logic network. Especially true for networks with large effective fanout (F) where energy reductions of a factor of 10 can be obtained (except when F=1 when minimum size should be used). Oversizing comes at a hefty price in energy. The optimal sizing factor for energy is smaller than the one for performance.
  5. A number of studies have shown that for typical delay path distributions, adding more supplies (than two) yields only marginal additional savings. When using clustered voltage scaling, the dual-supply approach is more effective when large capacitances are concentrated towards the end of the logic block (such as in buffer chains).
  6. Motorola’s Mcore numbers for number of pipeline latches disabled by clock gating on pipeline stall
  7. Motorola’s Mcore numbers for number of pipeline latches disabled by clock gating on pipeline stall