High density chips have introduced problems like crosstalk noise and power dissipation. The mismatching in transition time of the inputs occurs because different lengths of interconnects lead to different parasitic values. This paper presents the analysis of the effect of equal and unequal (mismatched) transition time of inputs on power dissipation in coupled interconnects. Further, the effect of signal skew on transition time is analysed. To demonstrate the effects, a model of two distributed RLC lines coupled capacitively and inductively is taken into consideration. Each interconnect line is 4mm long and terminated by capacitive load of 30fF. The analysis is carried out for simultaneously switching lines. The results are obtained through SPICE simulations and waveforms are generated.
BUS ENCODER FOR CROSSTALK AVOIDANCE IN RLC MODELED VLSICS Design
Most of the encoding methods proposed in recent years have dealt with only RC modeled VLSI interconnects. For deep submicron technologies (DSM), on-chip inductive effects have increased due to faster clock speeds, smaller signal rise times and longer length of on-chip interconnects. All these issues raise the concern for crosstalk, propagation delay and power dissipation of overall. Therefore, this research work introduces an efficient Bus Encoder using Bus Inverting (BI) method. The proposed design dramatically reduces both crosstalk and power dissipation in RLC modeled interconnects which makes it suitable for current high-speed low-power VLSI interconnects. The proposed model demonstrates an overall reduction of power dissipation and crosstalk induced delay by 55.43% and 45.87%, respectively.
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...VLSICS Design
The performance factors such as propagation delay, power dissipation and crosstalk in RC modelled interconnects are major design issues for the System on-chip (SoC) designs in current Deep Submicron (DSM) era. The crosstalk effect is a consequence of coupling and switching activities that is encountered when there is a transition as compared to previous state of wire and or when there are transitions in adjacent wires. Therefore, minimization or elimination of switching and coupling activities is crucial in enhancing the performance of SoC designs. There are several methods for the reduction of power dissipation, crosstalk and delay. The encoding method is most effective and popular method for enhancing the behaviour of on-chip buses. This paper proposes encoding scheme to achieve overall reduction in transitions. The reduction in transition improves the performance in terms of reduced power dissipation, coupling activity and delay in on-chip buses. This encoding method is implemented using VHDL. The result evidently demonstrates reduction in transitions which consequently improves the overall performance of on-chip buses.
Modeling and Structure Optimization of Tapped Transformer Yayah Zakaria
In this paper, a simplified circuit model of the tapped transformer structure has been presented to extract the Geometric and technology parameters and offer better physical understanding. Moreover, the structure of planar transformer has been optimized by using changing the width and space of the
primary coil, so as to enlarge the quality factor Q and high coupling coefficient K. To verify the results obtained by using these models, we have compared them with the results obtained by employing the MATLAB simulator. Very good agreement has been recorded for the effective primary
inductance value, whereas the effective primary quality factor value has shown a somewhat larger deviation than the inductance.
Due to increasing complexity, space and cost of communication network, the Electric Power Network has been considered a great option for the solution of all problems. Power line communications (PLC) term stands for the technologies for the data communication over the electrical power supply network. Existing power system is not designed for having data transfer. In this paper we have developed a simulation model of power-line for low voltage distribution network in home. Impulse response of the channel is generated in order to characterize the behavior of power line channel for high speed data communication purpose. To represent Multi-branch network mathematically, ABCD matrix parameters are used. Load mismatching is experimented on three parameters multiple loading, multi branch and different cable length and analysis is presented of its effect on impulse response. All the simulation work has been done using MATLAB.
Combined Defence Services Examination (II) - 2014Performance analysis of swcn...eSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
Self-controllable Voltage Level Technique to reduce leakage current in DRAM 4×4IJERA Editor
As the technology improved to support very large chip sizes, system designers were faced with power
consumption problem and leakage current problem. CMOS technology has increased in level of importance to
the point where it now clearly holds center stage as the dominant VLSI technology The present work shows the
implementation of a DRAM 4×4 (dynamic random access memory) with self controllable voltage level (SVL)
technique. SVL technique is leakage current reduction technique. Simulation is done by using a micro wind 3.1
and DSCH 2. By using a SVL technique in DRAM 4×4, 37% of leakage current is reduced.
Analytical modeling of electric field distribution in dual material junctionl...VLSICS Design
In this paper, electric field distribution of the junctionless dual material surrounding gate MOSFETs
(JLDMSG) is developed. Junctionless is a device that has similar characteristics like junction based
devices, but junctionless has a positive flatband voltage with zero electric field. In Surrounding gate
MOSFETs gate material surrounds the channel in all direction , therefore it can overcome the short
channel effects effectively than other devices. In this paper, surface potential and electric field distribution
is modelled. The proposed surface potential model is compared with the existing central potential model. It
is observed that the short channel effects (SCE) is reduced and the performance is better than the existing
method.
Accurate leakage current models for MOSFET nanoscale devices IJECEIAES
This paper underlines a closed form of MOSFET transistor’s leakage current mechanisms in the sub 100nmparadigm.The incorporation of drain induced barrier lowering (DIBL), Gate Induced Drain Lowering (GIDL) and body effect (m) on the sub-threshold leakage (I sub ) was investigated in detail. The Band-To-Band Tunneling (I BTBT ) due to the source and Drain PN reverse junction were also modeled with a close and accurate model using a rectangular approximation method (RJA). The three types of gate leakage (I G ) were also modeled and analyzed for parasitic (I GO ), inversion channel (I GC ), and gate substrate (I GB ). In addition, the leakage resources due to the aggressive reduction in the oxide thickness (<5nm) have been investigated. Simulation results using HSPICE exhibits a tremendous agreement with the BSIM4 model. The dominant value of the sub-threshold leakage was due to the DIBL and GIDL effects. Various recommendations regarding minimizing the leakage current at both device level and the circuit level were suggested at the end of this paper.
BUS ENCODER FOR CROSSTALK AVOIDANCE IN RLC MODELED VLSICS Design
Most of the encoding methods proposed in recent years have dealt with only RC modeled VLSI interconnects. For deep submicron technologies (DSM), on-chip inductive effects have increased due to faster clock speeds, smaller signal rise times and longer length of on-chip interconnects. All these issues raise the concern for crosstalk, propagation delay and power dissipation of overall. Therefore, this research work introduces an efficient Bus Encoder using Bus Inverting (BI) method. The proposed design dramatically reduces both crosstalk and power dissipation in RLC modeled interconnects which makes it suitable for current high-speed low-power VLSI interconnects. The proposed model demonstrates an overall reduction of power dissipation and crosstalk induced delay by 55.43% and 45.87%, respectively.
A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI In...VLSICS Design
The performance factors such as propagation delay, power dissipation and crosstalk in RC modelled interconnects are major design issues for the System on-chip (SoC) designs in current Deep Submicron (DSM) era. The crosstalk effect is a consequence of coupling and switching activities that is encountered when there is a transition as compared to previous state of wire and or when there are transitions in adjacent wires. Therefore, minimization or elimination of switching and coupling activities is crucial in enhancing the performance of SoC designs. There are several methods for the reduction of power dissipation, crosstalk and delay. The encoding method is most effective and popular method for enhancing the behaviour of on-chip buses. This paper proposes encoding scheme to achieve overall reduction in transitions. The reduction in transition improves the performance in terms of reduced power dissipation, coupling activity and delay in on-chip buses. This encoding method is implemented using VHDL. The result evidently demonstrates reduction in transitions which consequently improves the overall performance of on-chip buses.
Modeling and Structure Optimization of Tapped Transformer Yayah Zakaria
In this paper, a simplified circuit model of the tapped transformer structure has been presented to extract the Geometric and technology parameters and offer better physical understanding. Moreover, the structure of planar transformer has been optimized by using changing the width and space of the
primary coil, so as to enlarge the quality factor Q and high coupling coefficient K. To verify the results obtained by using these models, we have compared them with the results obtained by employing the MATLAB simulator. Very good agreement has been recorded for the effective primary
inductance value, whereas the effective primary quality factor value has shown a somewhat larger deviation than the inductance.
Due to increasing complexity, space and cost of communication network, the Electric Power Network has been considered a great option for the solution of all problems. Power line communications (PLC) term stands for the technologies for the data communication over the electrical power supply network. Existing power system is not designed for having data transfer. In this paper we have developed a simulation model of power-line for low voltage distribution network in home. Impulse response of the channel is generated in order to characterize the behavior of power line channel for high speed data communication purpose. To represent Multi-branch network mathematically, ABCD matrix parameters are used. Load mismatching is experimented on three parameters multiple loading, multi branch and different cable length and analysis is presented of its effect on impulse response. All the simulation work has been done using MATLAB.
Combined Defence Services Examination (II) - 2014Performance analysis of swcn...eSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
Self-controllable Voltage Level Technique to reduce leakage current in DRAM 4×4IJERA Editor
As the technology improved to support very large chip sizes, system designers were faced with power
consumption problem and leakage current problem. CMOS technology has increased in level of importance to
the point where it now clearly holds center stage as the dominant VLSI technology The present work shows the
implementation of a DRAM 4×4 (dynamic random access memory) with self controllable voltage level (SVL)
technique. SVL technique is leakage current reduction technique. Simulation is done by using a micro wind 3.1
and DSCH 2. By using a SVL technique in DRAM 4×4, 37% of leakage current is reduced.
Analytical modeling of electric field distribution in dual material junctionl...VLSICS Design
In this paper, electric field distribution of the junctionless dual material surrounding gate MOSFETs
(JLDMSG) is developed. Junctionless is a device that has similar characteristics like junction based
devices, but junctionless has a positive flatband voltage with zero electric field. In Surrounding gate
MOSFETs gate material surrounds the channel in all direction , therefore it can overcome the short
channel effects effectively than other devices. In this paper, surface potential and electric field distribution
is modelled. The proposed surface potential model is compared with the existing central potential model. It
is observed that the short channel effects (SCE) is reduced and the performance is better than the existing
method.
Accurate leakage current models for MOSFET nanoscale devices IJECEIAES
This paper underlines a closed form of MOSFET transistor’s leakage current mechanisms in the sub 100nmparadigm.The incorporation of drain induced barrier lowering (DIBL), Gate Induced Drain Lowering (GIDL) and body effect (m) on the sub-threshold leakage (I sub ) was investigated in detail. The Band-To-Band Tunneling (I BTBT ) due to the source and Drain PN reverse junction were also modeled with a close and accurate model using a rectangular approximation method (RJA). The three types of gate leakage (I G ) were also modeled and analyzed for parasitic (I GO ), inversion channel (I GC ), and gate substrate (I GB ). In addition, the leakage resources due to the aggressive reduction in the oxide thickness (<5nm) have been investigated. Simulation results using HSPICE exhibits a tremendous agreement with the BSIM4 model. The dominant value of the sub-threshold leakage was due to the DIBL and GIDL effects. Various recommendations regarding minimizing the leakage current at both device level and the circuit level were suggested at the end of this paper.
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYcscpconf
This work proposes a high speed and low power factorial design in 22nm technology and also it counts the effect of sub nano-meter constraints on this circuit. A comparative study for this
design has been done for 90nm, 45nm and 22nm technology. The rise in circuit complexity and speed is accompanied by the scaling of MOSFET’s. The transistor saturation current Idsat is an important parameter because the transistor current determines the time needed to charge and discharge the capacitive loads on chip, and thus impacts the product speed more than any other transistor parameter. The efficient implementation of a factorial number is carried out by using
a decremented and multipliers which has been lucidly discussed in this paper. Normally in a factorial module a number is calculated as the iterative multiplication of the given number to
the decremented value of the given number. A Parallel adder based decremented has been proposed for calculating the factorial of any number that also includes 0 and 1. The
performances are calculated by using the existing 90-nm CMOS technology and scaling down the existing technology to 45-nm and 22-nm.
Modelling of Crosstalk and Delay for Distributed RLCG On-Chip Interconnects F...IDES Editor
In order to accurately model high frequency affects,
inductance has been taken into consideration. No longer can
interconnects be treated as mere delays or lumped RC networks.
In that frequency range, the most accurate simulation model for
on-chip VLSI interconnects is the distributed RLC model.
Unfortunately, this model has many limitations at much higher
of operating frequency used in today’s VLSI design. The reduction
in cross-sectional dimension leads to more tightly couple
interconnects and therefore, a higher probability of unwanted
crosstalk interference. This can lead to inaccurate simulations
if not modelled properly. At even higher frequency, the aggressor
net carries a signal that couples to the victim net through the
parasitic capacitances. To determine the effects that this crosstalk
will have on circuit operation, the resulting delays and logic
levels for the victim nets must be computed. This paper proposes
a difference model approach to derive crosstalk and delay in the
transform domain. A closed form solution for crosstalk and delay
is obtained by incorporating initial conditions using difference
model approach for distributed RLCG interconnects. The
simulation is performed in 0.18μm technology node and an error
of less than 1% has been achieved with the proposed model when
compared with SPICE.
Effect of mesh grid structure in reducing hot carrier effect of nmos device s...ijcsa
This paper presents the critical effect of mesh grid that should be considered during process and device
simulation using modern TCAD tools in order to develop and optimize their accurate electrical
characteristics. Here, the computational modelling process of developing the NMOS device structure is
performed in Athena and Atlas. The effect of Mesh grid on net doping profile, n++, and LDD sheet
resistance that could link to unwanted “Hot Carrier Effect” were investigated by varying the device grid
resolution in both directions. It is found that y-grid give more profound effect in the doping concentration,
the junction depth formation and the value of threshold voltage during simulation. Optimized mesh grid is
obtained and tested for more accurate and faster simulation. Process parameter (such as oxide thicknesses
and Sheet resistance) as well as Device Parameter (such as linear gain “beta” and SPICE level 3 mobility
roll-off parameter “ Theta”) are extracted and investigated for further different applications.
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...IDES Editor
Fast delay estimation methods, as compared to
simulation techniques, are needed for incremental
performance-driven layout synthesis. On-chip inductive and
conductive effects are becoming predominant in deep
submicron (DSM) interconnects due to increasing clock
speeds; circuit complexity and interconnect lengths.
Inductance causes noise in the signal waveforms, which can
adversely affect the performance of the circuit and signal
integrity. Elmore delay-based estimation methods, although
efficient, fails to accurately estimate the delay for RLCG
interconnect lines. This paper presents an analytical delay
model, based on first and second moments of RLCG
interconnection lines, that considers the effect of inductance
and conductance for the estimation of delay in interconnection
lines. Simulation results justify the efficacy of the proposed
delay modelling approach.
Design of a CMOS-based microwave active channelized bandpass filterTELKOMNIKA JOURNAL
A two-branch microwave active bandpass filter is designed through the channelized filtering technique as well as the transversal concept. Both the main and the auxiliary branches, connected without power dividers/combiners, rely on C-coupled active third order Chebyshev bandpass filters. A lumped element signal delay circuit is also introduced in the main channel. Active inductors based on the gyrator-C topology, are involved in the Chebyshev filters’ structure. CMOS-based Operational Transconductor Amplifier (OTA) circuits are the building blocks of these inductors. The proposed active transversal channelized filter produces an elliptic narrow band response, centered at 1.13 GHz. Simulation results, obtained by means of the PSPICE code according to the 0.18 μm TSMC MOS technology, indicate excellent performances illustrating good impedance matching, low insertion losses and high selectivity. Finally, the noise analysis shows that the filter has a low noise figure in the bandwidth.
Design of Near-Threshold CMOS Logic GatesVLSICS Design
Numerous efforts have made to balance the tradeoff between power consumption, area and speed of a design. While studying the design at the two extreme ends of the design spectrum, namely the ultra-low power with acceptable performance at one end and high performance with power within limit at the other has not made. One solution to achieve the ultra-low power consumption is to operate the design in subthreshold region. The use of sub-threshold circuit designing in fast and energy efficient circuits is always needed in electronics industry especially in DSP, image processing and arithmetic units in microprocessors, where the low power is the primary concern and the delay can be tolerated. We design a simple CMOS inverter in weak inversion region (sub-threshold) and compare the power consumption with strong inversion region using Cadence 0.18µm Technology.
ANALYSIS OF SMALL-SIGNAL PARAMETERS OF 2-D MODFET WITH POLARIZATION EFFECTS F...VLSICS Design
An improved analytical two dimensional (2-D) model for AlGaN/GaN modulation doped field effect
transistor (MODFET) has been developed. The model is based on the solution of 2-D Poisson’s equation.
The model includes the spontaneous and piezoelectric polarization effects. The effects of field dependent
mobility, velocity saturation and parasitic resistances are included in the current voltage characteristics of
the developed two dimensional electron gas (2-DEG) model. The small-signal microwave parameters have
been evaluated to determine the output characteristics, device transconductance and cut-off frequency for
50 nm gate length. The peak transconductance of 165mS/mm and a cut-off frequency of 120 GHz have been
obtained. The results so obtained are in close agreement with experimental data, thereby proving the
validity of the model.
Effects of Scaling on MOS Device Performanceiosrjce
This paper presents effects on MOS transistor performance due to scaling of its dimensions. Scaling
theory deals with the change in the device characteristics with the decrease in the dimensions of a MOS
transistor. MOS transistors are continuously scaled down due to the desire for high density and high
functionality VLSI chips. The driving forces behind these developments are increasing the demand for portable
systems requiring high throughput and high integration capacity. Effects of scaling on the performance
characteristics of a MOS device are analyzed in this paper
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
In evolution of memory technology the invention of memristor has colossal impact. It is the memory with resistor as its name indicates its function. The development of memristor as the non-volatile memory device replaces the flash memory and for this reason it is compared to flash memory for the better understanding of the memristor. The demand for high scalability, speed and endurance, the CMOS technology has limitation for the current lithography technology. As the result it is hard to supply the increasing demand for the non-volatile memory with high density. The only hope for the semiconductor industry is memristor by easier way to increase storage density. These larger storage density The increasing demand for high capacity ,high speed and lower priced acts as the force for the research in this field. The performance and the proposing innovation towards the development of the memristor is simulated using the LTspice for new technology.
Physical Scaling Limits of FinFET Structure: A Simulation StudyVLSICS Design
In this work an attempt has been made to analyze the scaling limits of Double Gate (DG) underlap and Triple Gate (TG) overlap FinFET structure using 2D and 3D computer simulations respectively. To analyze the scaling limits of FinFET structure, simulations are performed using three variables: finthickness, fin-height and gate-length. From 2D simulation of DG FinFET, it is found that the gate-length (L) and fin-thickness (Tfin) ratio plays a key role while deciding the performance of the device. Drain Induced Barrier Lowering (DIBL) and Subthreshold Swing (SS) increase abruptly when (L/Tfin) ratio goes below 1.5. So, there will be a trade-off in between SCEs and on- current of the device since on-off current ratio is found to be high at small dimensions. From 3D simulation study on TG FinFET, It is found that both fin-thickness (Tfin) and fin-height (Hfin) can control the SCEs. However, Tfin is found to be more dominant parameter than Hfin while deciding the SCEs. DIBL and SS increase as (Leff/Tfin) ratio decreases. The (Leff/Tfin) ratio can be reduced below 1.5 unlike DG FinFET for the same SCEs. However,
as this ratio approaches to 1, the SCEs can go beyond acceptable limits for TG FinFET structure. The relative ratio of Hfin and Tfin should be maximum at a given Tfin and Leff to get maximum on-current per unit width. However, increasing Hfin degrades the fin stability and degrades SCEs.
A coupled-line balun for ultra-wideband single-balanced diode mixerTELKOMNIKA JOURNAL
A multi-section coupled-line balun design for an ultra-wideband diode mixer is presented in this paper. The multi-section coupled-line balun was used to interface with the diode mixer in which it can deliver a good impedance matching between the diode mixer and input/output ports. The mixer design operates with a Local Oscillator (LO) power level of 10 dBm, Radio Frequency (RF) power level of -20 dBm and Intermediate Frequency (IF) of 100 MHz with the balun characteristic of 180° phase shift over UWB frequency (3.1 to 10.6 GHz), the mixer design demonstrated a good conversion loss of -8 to -16 dB over the frequency range from 3.1 to 10.6 GHz. Therefore, the proposed multi-section coupled-line balun for application of UWB mixer showed a good isolation between the mixer’s ports.
Low power is one of the key research area in today’s electronic industry. Need of low power has created a major pattern shift in the field of electronics where power dissipation is equally important as area, performance etc. Several low power portable electronic equipments, low voltage design techniques have been developed and have driven analog designers to create techniques eg. Self cascode mosfet and stacking technique. For this aim in mind we designed a Folded Cascode using low power techniques and analyzed its various properties through the Spice simulations for 0.13 micron CMOS technology from TSMC and the supply voltage 1.8V.
Design and Simulation of a Fractal Micro-TransformerIJERA Editor
Due to advancement in smart technologies, the issues like renewable energy integrations into the existing power
systems, reduced weight and size of power equipments is required. In this regard, this work is focused on the
study and design of fractal type micro-transformer for day-to-day applications. An air core transformer is
designed using finite element modeling. The obtained results showed far better implementation parameters in
comparison to the macro transformers.
Proposed Model for Interference Estimation in Code Division Multiple AccessTELKOMNIKA JOURNAL
Cellular CDMA systems are usually affected by interference experienced by users in adjacent
cells that decrease the Quality of Services in wireless communication network. Hence, interference is the
limiting factor of capacity in CDMA cellular and it is one of the problems fighting against the high efficiency
of any mobile network. In this paper, a mathematical model to estimate the average number of users
contributing in inter-cell interference at the busy hours of CDMA network is proposed. As the power
exponent value has significant affect on interferer signal attenuation and hence other-cells interference,
measurements were carried through a drive test to determine the received power level at various distance
from CDMA base stations at Baghdad. The results obtained show that the power exponent was 2.71. This
value was applied in dual-slop path loss model to determine the expected interference factor, and the
number of users that can be hold at each cell. Simulations showed that users at a boundary cell generate
more interference than those close to the base station. Furthermore, it was denoted that greater number of
users caused to increase the interference factor, and greater power exponent value result in interference
factor reduction.
Carbon nano tube based delay model for high speed energy efficient on chip da...elelijjournal
Speed is a major concern for high density VLSI networks. In this paper the closed form delay model for current mode signalling in VLSI interconnects has been proposed with resistive load termination.RLC interconnect line is modelled using characteristic impedance of transmission line and inductive effect.The inductive effect is dominant at lower technology node is modelled into an equivalent resistance. In this model first order transfer function is designed using finite difference equation, and by applying the boundary conditions at the source and load termination. It has been observed that the dominant pole determines system response and delay in the proposed model. Using CNIA tool (carbon nanotube interconnect analyzer) the interconnect line parameters has been estimated at 45nm technology node. The novel proposed current mode model superiority has been validated for CNT type of material. It superiority factor remains to 66.66% as compared to voltage mode signalling. And current mode dissipates 0.015pJ energy where as VM consume 0.045pJ for a single bit transmission across the interconnect over CNT
material. Secondly the damping factor of a lumped RLC circuit is shown to be a useful figure of merit.
The Performance of an Integrated Transformer in a DC/DC ConverterTELKOMNIKA JOURNAL
The separation between the low-voltage part and high-voltage part of the converter is formed by a
transformer that transfers power while jamming the DC ring. The resonant mode power oscillator is utilized
to allow elevated competence power transfer. The on-chip transformer is probable to have elevated value
inductance, elevated quality factors and elevated coupling coefficient to decrease the loss in the
oscillation. The performance of a transformer is extremely dependent on the structure, topology and other
essential structures that create it compatible with the integrated circuits IC process such as patterned
ground shield (PGS). Different types of transformers are modeled and simulated in MATLAB; the
performances are compared to select the optimum design. The on-chip transformer model is simulated
and the Results of MATLAB simulation are exposed, showing an excellent agreement in radio frequency
RF.
ULTRA HIGH SPEED FACTORIAL DESIGN IN SUB-NANOMETER TECHNOLOGYcscpconf
This work proposes a high speed and low power factorial design in 22nm technology and also it counts the effect of sub nano-meter constraints on this circuit. A comparative study for this
design has been done for 90nm, 45nm and 22nm technology. The rise in circuit complexity and speed is accompanied by the scaling of MOSFET’s. The transistor saturation current Idsat is an important parameter because the transistor current determines the time needed to charge and discharge the capacitive loads on chip, and thus impacts the product speed more than any other transistor parameter. The efficient implementation of a factorial number is carried out by using
a decremented and multipliers which has been lucidly discussed in this paper. Normally in a factorial module a number is calculated as the iterative multiplication of the given number to
the decremented value of the given number. A Parallel adder based decremented has been proposed for calculating the factorial of any number that also includes 0 and 1. The
performances are calculated by using the existing 90-nm CMOS technology and scaling down the existing technology to 45-nm and 22-nm.
Modelling of Crosstalk and Delay for Distributed RLCG On-Chip Interconnects F...IDES Editor
In order to accurately model high frequency affects,
inductance has been taken into consideration. No longer can
interconnects be treated as mere delays or lumped RC networks.
In that frequency range, the most accurate simulation model for
on-chip VLSI interconnects is the distributed RLC model.
Unfortunately, this model has many limitations at much higher
of operating frequency used in today’s VLSI design. The reduction
in cross-sectional dimension leads to more tightly couple
interconnects and therefore, a higher probability of unwanted
crosstalk interference. This can lead to inaccurate simulations
if not modelled properly. At even higher frequency, the aggressor
net carries a signal that couples to the victim net through the
parasitic capacitances. To determine the effects that this crosstalk
will have on circuit operation, the resulting delays and logic
levels for the victim nets must be computed. This paper proposes
a difference model approach to derive crosstalk and delay in the
transform domain. A closed form solution for crosstalk and delay
is obtained by incorporating initial conditions using difference
model approach for distributed RLCG interconnects. The
simulation is performed in 0.18μm technology node and an error
of less than 1% has been achieved with the proposed model when
compared with SPICE.
Effect of mesh grid structure in reducing hot carrier effect of nmos device s...ijcsa
This paper presents the critical effect of mesh grid that should be considered during process and device
simulation using modern TCAD tools in order to develop and optimize their accurate electrical
characteristics. Here, the computational modelling process of developing the NMOS device structure is
performed in Athena and Atlas. The effect of Mesh grid on net doping profile, n++, and LDD sheet
resistance that could link to unwanted “Hot Carrier Effect” were investigated by varying the device grid
resolution in both directions. It is found that y-grid give more profound effect in the doping concentration,
the junction depth formation and the value of threshold voltage during simulation. Optimized mesh grid is
obtained and tested for more accurate and faster simulation. Process parameter (such as oxide thicknesses
and Sheet resistance) as well as Device Parameter (such as linear gain “beta” and SPICE level 3 mobility
roll-off parameter “ Theta”) are extracted and investigated for further different applications.
Analytical Delay Model for Distributed On-Chip RLCG Global Interconnects for ...IDES Editor
Fast delay estimation methods, as compared to
simulation techniques, are needed for incremental
performance-driven layout synthesis. On-chip inductive and
conductive effects are becoming predominant in deep
submicron (DSM) interconnects due to increasing clock
speeds; circuit complexity and interconnect lengths.
Inductance causes noise in the signal waveforms, which can
adversely affect the performance of the circuit and signal
integrity. Elmore delay-based estimation methods, although
efficient, fails to accurately estimate the delay for RLCG
interconnect lines. This paper presents an analytical delay
model, based on first and second moments of RLCG
interconnection lines, that considers the effect of inductance
and conductance for the estimation of delay in interconnection
lines. Simulation results justify the efficacy of the proposed
delay modelling approach.
Design of a CMOS-based microwave active channelized bandpass filterTELKOMNIKA JOURNAL
A two-branch microwave active bandpass filter is designed through the channelized filtering technique as well as the transversal concept. Both the main and the auxiliary branches, connected without power dividers/combiners, rely on C-coupled active third order Chebyshev bandpass filters. A lumped element signal delay circuit is also introduced in the main channel. Active inductors based on the gyrator-C topology, are involved in the Chebyshev filters’ structure. CMOS-based Operational Transconductor Amplifier (OTA) circuits are the building blocks of these inductors. The proposed active transversal channelized filter produces an elliptic narrow band response, centered at 1.13 GHz. Simulation results, obtained by means of the PSPICE code according to the 0.18 μm TSMC MOS technology, indicate excellent performances illustrating good impedance matching, low insertion losses and high selectivity. Finally, the noise analysis shows that the filter has a low noise figure in the bandwidth.
Design of Near-Threshold CMOS Logic GatesVLSICS Design
Numerous efforts have made to balance the tradeoff between power consumption, area and speed of a design. While studying the design at the two extreme ends of the design spectrum, namely the ultra-low power with acceptable performance at one end and high performance with power within limit at the other has not made. One solution to achieve the ultra-low power consumption is to operate the design in subthreshold region. The use of sub-threshold circuit designing in fast and energy efficient circuits is always needed in electronics industry especially in DSP, image processing and arithmetic units in microprocessors, where the low power is the primary concern and the delay can be tolerated. We design a simple CMOS inverter in weak inversion region (sub-threshold) and compare the power consumption with strong inversion region using Cadence 0.18µm Technology.
ANALYSIS OF SMALL-SIGNAL PARAMETERS OF 2-D MODFET WITH POLARIZATION EFFECTS F...VLSICS Design
An improved analytical two dimensional (2-D) model for AlGaN/GaN modulation doped field effect
transistor (MODFET) has been developed. The model is based on the solution of 2-D Poisson’s equation.
The model includes the spontaneous and piezoelectric polarization effects. The effects of field dependent
mobility, velocity saturation and parasitic resistances are included in the current voltage characteristics of
the developed two dimensional electron gas (2-DEG) model. The small-signal microwave parameters have
been evaluated to determine the output characteristics, device transconductance and cut-off frequency for
50 nm gate length. The peak transconductance of 165mS/mm and a cut-off frequency of 120 GHz have been
obtained. The results so obtained are in close agreement with experimental data, thereby proving the
validity of the model.
Effects of Scaling on MOS Device Performanceiosrjce
This paper presents effects on MOS transistor performance due to scaling of its dimensions. Scaling
theory deals with the change in the device characteristics with the decrease in the dimensions of a MOS
transistor. MOS transistors are continuously scaled down due to the desire for high density and high
functionality VLSI chips. The driving forces behind these developments are increasing the demand for portable
systems requiring high throughput and high integration capacity. Effects of scaling on the performance
characteristics of a MOS device are analyzed in this paper
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
In evolution of memory technology the invention of memristor has colossal impact. It is the memory with resistor as its name indicates its function. The development of memristor as the non-volatile memory device replaces the flash memory and for this reason it is compared to flash memory for the better understanding of the memristor. The demand for high scalability, speed and endurance, the CMOS technology has limitation for the current lithography technology. As the result it is hard to supply the increasing demand for the non-volatile memory with high density. The only hope for the semiconductor industry is memristor by easier way to increase storage density. These larger storage density The increasing demand for high capacity ,high speed and lower priced acts as the force for the research in this field. The performance and the proposing innovation towards the development of the memristor is simulated using the LTspice for new technology.
Physical Scaling Limits of FinFET Structure: A Simulation StudyVLSICS Design
In this work an attempt has been made to analyze the scaling limits of Double Gate (DG) underlap and Triple Gate (TG) overlap FinFET structure using 2D and 3D computer simulations respectively. To analyze the scaling limits of FinFET structure, simulations are performed using three variables: finthickness, fin-height and gate-length. From 2D simulation of DG FinFET, it is found that the gate-length (L) and fin-thickness (Tfin) ratio plays a key role while deciding the performance of the device. Drain Induced Barrier Lowering (DIBL) and Subthreshold Swing (SS) increase abruptly when (L/Tfin) ratio goes below 1.5. So, there will be a trade-off in between SCEs and on- current of the device since on-off current ratio is found to be high at small dimensions. From 3D simulation study on TG FinFET, It is found that both fin-thickness (Tfin) and fin-height (Hfin) can control the SCEs. However, Tfin is found to be more dominant parameter than Hfin while deciding the SCEs. DIBL and SS increase as (Leff/Tfin) ratio decreases. The (Leff/Tfin) ratio can be reduced below 1.5 unlike DG FinFET for the same SCEs. However,
as this ratio approaches to 1, the SCEs can go beyond acceptable limits for TG FinFET structure. The relative ratio of Hfin and Tfin should be maximum at a given Tfin and Leff to get maximum on-current per unit width. However, increasing Hfin degrades the fin stability and degrades SCEs.
A coupled-line balun for ultra-wideband single-balanced diode mixerTELKOMNIKA JOURNAL
A multi-section coupled-line balun design for an ultra-wideband diode mixer is presented in this paper. The multi-section coupled-line balun was used to interface with the diode mixer in which it can deliver a good impedance matching between the diode mixer and input/output ports. The mixer design operates with a Local Oscillator (LO) power level of 10 dBm, Radio Frequency (RF) power level of -20 dBm and Intermediate Frequency (IF) of 100 MHz with the balun characteristic of 180° phase shift over UWB frequency (3.1 to 10.6 GHz), the mixer design demonstrated a good conversion loss of -8 to -16 dB over the frequency range from 3.1 to 10.6 GHz. Therefore, the proposed multi-section coupled-line balun for application of UWB mixer showed a good isolation between the mixer’s ports.
Low power is one of the key research area in today’s electronic industry. Need of low power has created a major pattern shift in the field of electronics where power dissipation is equally important as area, performance etc. Several low power portable electronic equipments, low voltage design techniques have been developed and have driven analog designers to create techniques eg. Self cascode mosfet and stacking technique. For this aim in mind we designed a Folded Cascode using low power techniques and analyzed its various properties through the Spice simulations for 0.13 micron CMOS technology from TSMC and the supply voltage 1.8V.
Design and Simulation of a Fractal Micro-TransformerIJERA Editor
Due to advancement in smart technologies, the issues like renewable energy integrations into the existing power
systems, reduced weight and size of power equipments is required. In this regard, this work is focused on the
study and design of fractal type micro-transformer for day-to-day applications. An air core transformer is
designed using finite element modeling. The obtained results showed far better implementation parameters in
comparison to the macro transformers.
Proposed Model for Interference Estimation in Code Division Multiple AccessTELKOMNIKA JOURNAL
Cellular CDMA systems are usually affected by interference experienced by users in adjacent
cells that decrease the Quality of Services in wireless communication network. Hence, interference is the
limiting factor of capacity in CDMA cellular and it is one of the problems fighting against the high efficiency
of any mobile network. In this paper, a mathematical model to estimate the average number of users
contributing in inter-cell interference at the busy hours of CDMA network is proposed. As the power
exponent value has significant affect on interferer signal attenuation and hence other-cells interference,
measurements were carried through a drive test to determine the received power level at various distance
from CDMA base stations at Baghdad. The results obtained show that the power exponent was 2.71. This
value was applied in dual-slop path loss model to determine the expected interference factor, and the
number of users that can be hold at each cell. Simulations showed that users at a boundary cell generate
more interference than those close to the base station. Furthermore, it was denoted that greater number of
users caused to increase the interference factor, and greater power exponent value result in interference
factor reduction.
Carbon nano tube based delay model for high speed energy efficient on chip da...elelijjournal
Speed is a major concern for high density VLSI networks. In this paper the closed form delay model for current mode signalling in VLSI interconnects has been proposed with resistive load termination.RLC interconnect line is modelled using characteristic impedance of transmission line and inductive effect.The inductive effect is dominant at lower technology node is modelled into an equivalent resistance. In this model first order transfer function is designed using finite difference equation, and by applying the boundary conditions at the source and load termination. It has been observed that the dominant pole determines system response and delay in the proposed model. Using CNIA tool (carbon nanotube interconnect analyzer) the interconnect line parameters has been estimated at 45nm technology node. The novel proposed current mode model superiority has been validated for CNT type of material. It superiority factor remains to 66.66% as compared to voltage mode signalling. And current mode dissipates 0.015pJ energy where as VM consume 0.045pJ for a single bit transmission across the interconnect over CNT
material. Secondly the damping factor of a lumped RLC circuit is shown to be a useful figure of merit.
The Performance of an Integrated Transformer in a DC/DC ConverterTELKOMNIKA JOURNAL
The separation between the low-voltage part and high-voltage part of the converter is formed by a
transformer that transfers power while jamming the DC ring. The resonant mode power oscillator is utilized
to allow elevated competence power transfer. The on-chip transformer is probable to have elevated value
inductance, elevated quality factors and elevated coupling coefficient to decrease the loss in the
oscillation. The performance of a transformer is extremely dependent on the structure, topology and other
essential structures that create it compatible with the integrated circuits IC process such as patterned
ground shield (PGS). Different types of transformers are modeled and simulated in MATLAB; the
performances are compared to select the optimum design. The on-chip transformer model is simulated
and the Results of MATLAB simulation are exposed, showing an excellent agreement in radio frequency
RF.
Modeling and Structure Optimization of Tapped Transformer IJECEIAES
In this paper, a simplified circuit model of the tapped transformer structure has been presented to extract the Geometric and technology parameters and offer better physical understanding. Moreover, the structure of planar transformer has been optimized by using changing the width and space of the primary coil, so as to enlarge the quality factor Q and high coupling coefficient K. To verify the results obtained by using these models, we have compared them with the results obtained by employing the MATLAB simulator. Very good agreement has been recorded for the effective primary inductance value, whereas the effective primary quality factor value has shown a somewhat larger deviation than the inductance.
High Performance Data Bus Encoding Technique in DSM TechnologyIDES Editor
To increase the performance and reliability of
highly integrated circuits like DSP processors,
Microprocessors and SoCs, transistors sizes are continues to
scale towards Deep Submicron and Very Deep Submicron
dimensions . As more and more transistors are packed on the
chip to increase the functionality more metal layers are being
added to the integrated chips. Hence the performance of the
chips depends more on the performance of global interconnect
and on-chip busses than gate performance. The performance
of the global interconnects and on-chip data busses is limited
by switching activity, energy dissipation and noise such as
crosstalk, leakage, supply noise and process variations etc.
which are the side effects of the technology scaling. To increase
the performance of overall system it is necessary to control
and reduce these technology scaling effects on on-chip data
buses. One of the favorable techniques to increase the
efficiency of the data buses is to encode the data on the onchip
bus. Data encoding technique is the promising method to
increase the performance of the data bus and hence overall
system performance. Hence high performance data bus
encoding technique is propose which reduces switching
activity, transition energy dissipation, crosstalk and crosstalk
delay. The proposed method reduces the switching activity by
around 23%, energy dissipation by 46%, 6C, 5C and 4C type
crosstalk by around 89%, 73% and 31% respectively and
crosstalk delay by around 44% to 50% compare to unencoded
data.
CROSSTALK MINIMIZATION FOR COUPLED RLC INTERCONNECTS USING BIDIRECTIONAL BUFF...VLSICS Design
Crosstalk noise is often induced in long interconnects running parallel to each other. There is a need to
minimize the effect of these crosstalk noise so as to maintain the signal integrity in interconnects. In this
paper crosstalk noise is minimized using various techniques such as repeater (bidirectional buffer)
insertion along with shielding, skewing and shielding & skewing simultaneously. With the help of these
techniques crosstalk noise is controlled to a great extent in long interconnects. Pre-layout and Post-layout
simulations for crosstalk are carried out for these techniques at 180nm technology node using Cadence
EDA tools. The influences of these techniques are analyzed and it is found that crosstalk is reduced up to
32 % with repeater insertion, 47% with skewing, 58% with shielding and 81% with skewing & shielding
simultaneously
Performance and analysis of ultra deep sub micron technology using complement...VLSICS Design
CMOS technology had attained remarkable to progress and advances thus this progress had been achieved
by certain downsizing of the MOSFETs. The dimension of the MOSFETs were scaled upon by factor which
has historically found to be 0.7 in very large scale integration technology, power and delay analysis have
become crucial design concern. tn this paper we emphasize the comparative study of delay, average power
and leakage power of CMOS inverter in Ultra Deep Submicron Technology range. This study shows
variation of following as follows by delay to UDSM technology and also for average power and leakage
power by diminishing to certain technology. The simulation results are taken for 45nm in Ultra Deep
Submicron Technology range with the help of Cadence Tool and also analyzing the effect of load
capacitance, transistor width and supply voltage on average power and delay of CMOS inverter of 45nm
technology. Therefore the analysis has done with the aim to observe about the certain variation in delay
and power with variation in transistor width in UDSM CMOS inverter and also had variation in load
capacitance and supply voltage had been studied
Design and modeling of solenoid inductor integrated with FeNiCo in high frequ...TELKOMNIKA JOURNAL
In this work, the design and modeling of the solenoid inductor are discussed. The layout of integrated inductors with magnetic cores and their geometrical parameters are developed. The quality factor Q and inductance value L are derived from the S-parameters and plotted versus frequency. The effect of solenoid inductor geometry on inductance and quality factor are studied via simulation using MATLAB. The solenoid inductor geometry parameters considered are the turn’s number, the magnetic core length, the width of a magnetic core, the gap between turns, the magnetic core thickness, the coil thickness, and solenoid inductor oxide thickness. The performance of the proposed solenoid inductor integrated with FeNiCo is compared with other solenoid inductors.
Design and Analysis of Power and Variability Aware Digital Summing CircuitIDES Editor
Due to aggressive scaling and process imperfection
in sub-45 nm technology node Vt (threshold voltage) shift is
more pronounced causing large variations in circuit response.
Therefore, this paper presents the analyses of various popular
1-bit digital summing circuits in light of PVT (process, voltage
and temperature) variations to verify their functionality and
robustness. The investigation is carried with ±3ó process
parameters and ±10% VDD (supply voltage) variation by applying
Gaussian distribution and Monte Carlo analysis at 22 nm
technology node on HSPICE environment. Design guidelines
are derived to select the most suitable topology for the design
features required. Transmission Gate (TG)-based digital
summing circuit is found to be the most robust against PVT
variations. Hence, a TG-based digital summing circuit is
implemented using carbon nanotube field effect transistor
(CNFET). This implementation offers tighter spread in
propagation delay (3×), power dissipation (1.14×) and EDP
(energy delay product) (1.1×) at nominal voltage of VDD = 0.95V
compared to MOSFET-based (TG – topology) digital summing
circuit implying its robustness against PVT variations.
Self-controllable Voltage Level Technique to reduce leakage current in DRAM 4×4IJERA Editor
As the technology improved to support very large chip sizes, system designers were faced with power
consumption problem and leakage current problem. CMOS technology has increased in level of importance to
the point where it now clearly holds center stage as the dominant VLSI technology The present work shows the
implementation of a DRAM 4×4 (dynamic random access memory) with self controllable voltage level (SVL)
technique. SVL technique is leakage current reduction technique. Simulation is done by using a micro wind 3.1
and DSCH 2. By using a SVL technique in DRAM 4×4, 37% of leakage current is reduced.
Planar transmission line is one of the physical medium used to transmit high frequency signal. The signal flow through the transmission line depends on the important electrical parameter, the frequency. As the signal frequency increases in a conductor, current carriers start to move towards the edges of the conductor. Flow of carriers on the conductor synchronizes with the substrate to achieve better efficiency. The signal flow in the transmission line depends on the dielectric constant of the material and the loss tangent value. The paper shows the simulation studies on return loss and insertion loss of planar transmission lines with constant frequency of 10GHz. To design planar transmission lines different dielectric materials are being selected. In our design, parameters like input impedance, conductor (silver) thickness and conductor height are kept constant. The design and analysis is done using Applied Wave Research (AWR) tool. The obtained results shows unique response and it depends on the type of dielectric medium selected.
Reduced Dielectric Losses for Underground Cable Distribution SystemsIJAPEJOURNAL
This paper describes the process to reduce dielectric losses for underground cable distribution system. As already known, that system is an alternative solution to energy distribution systems in urban areas. Influence of large capacitance is a separate issue that needs to be resolved.
Large capacitance effect on Express Feeder of 10 miles long has resulted in power losses more than 100 MW per month. In the no-load condition, current dispatch has recorded 10 Amperes, and has increased the voltage at receiving end by 200-500 Volts, with leading power factors.
Installation of the inductor to reduce cable loss dielectrics is done by changing the power factor (pf) to 0.85 lagging. After installation of the inductor, which is 5 mH/700 kVAR, dielectric losses is reduced to 3.57%, which is from 105,983 kW to 102,195 kWh per month. The capacitive leakage current has also been reduced from 249.61 Ampere to 245.17 Ampere.
POWER COMPARISON OF CMOS AND ADIABATIC FULL ADDER CIRCUITSVLSICS Design
Full adders are important components in applications such as digital signal processors (DSP) architectures and microprocessors. Apart from the basic addition adders also used in performing useful operations such as subtraction, multiplication, division, address calculation, etc. In most of these systemsthe adder lies in the critical path that determines the overall performance of the system. In this paper conventional complementary metal oxide emiconductor (CMOS) and adiabatic adder circuits are analyzed in terms of power and transistor count using 0.18UM technology.
Power Comparison of CMOS and Adiabatic Full Adder Circuits VLSICS Design
Full adders are important components in applications such as digital signal processors (DSP) architectures and microprocessors. Apart from the basic addition adders also used in performing useful operations such as subtraction, multiplication, division, address calculation, etc. In most of these systems the adder lies in the critical path that determines the overall performance of the system. In this paper conventional complementary metal oxide semiconductor (CMOS) and adiabatic adder circuits are analyzed in terms of power and transistor count using 0.18UM technology.
Final project report on grocery store management system..pdfKamal Acharya
In today’s fast-changing business environment, it’s extremely important to be able to respond to client needs in the most effective and timely manner. If your customers wish to see your business online and have instant access to your products or services.
Online Grocery Store is an e-commerce website, which retails various grocery products. This project allows viewing various products available enables registered users to purchase desired products instantly using Paytm, UPI payment processor (Instant Pay) and also can place order by using Cash on Delivery (Pay Later) option. This project provides an easy access to Administrators and Managers to view orders placed using Pay Later and Instant Pay options.
In order to develop an e-commerce website, a number of Technologies must be studied and understood. These include multi-tiered architecture, server and client-side scripting techniques, implementation technologies, programming language (such as PHP, HTML, CSS, JavaScript) and MySQL relational databases. This is a project with the objective to develop a basic website where a consumer is provided with a shopping cart website and also to know about the technologies used to develop such a website.
This document will discuss each of the underlying technologies to create and implement an e- commerce website.
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Dr.Costas Sachpazis
Terzaghi's soil bearing capacity theory, developed by Karl Terzaghi, is a fundamental principle in geotechnical engineering used to determine the bearing capacity of shallow foundations. This theory provides a method to calculate the ultimate bearing capacity of soil, which is the maximum load per unit area that the soil can support without undergoing shear failure. The Calculation HTML Code included.
Hybrid optimization of pumped hydro system and solar- Engr. Abdul-Azeez.pdffxintegritypublishin
Advancements in technology unveil a myriad of electrical and electronic breakthroughs geared towards efficiently harnessing limited resources to meet human energy demands. The optimization of hybrid solar PV panels and pumped hydro energy supply systems plays a pivotal role in utilizing natural resources effectively. This initiative not only benefits humanity but also fosters environmental sustainability. The study investigated the design optimization of these hybrid systems, focusing on understanding solar radiation patterns, identifying geographical influences on solar radiation, formulating a mathematical model for system optimization, and determining the optimal configuration of PV panels and pumped hydro storage. Through a comparative analysis approach and eight weeks of data collection, the study addressed key research questions related to solar radiation patterns and optimal system design. The findings highlighted regions with heightened solar radiation levels, showcasing substantial potential for power generation and emphasizing the system's efficiency. Optimizing system design significantly boosted power generation, promoted renewable energy utilization, and enhanced energy storage capacity. The study underscored the benefits of optimizing hybrid solar PV panels and pumped hydro energy supply systems for sustainable energy usage. Optimizing the design of solar PV panels and pumped hydro energy supply systems as examined across diverse climatic conditions in a developing country, not only enhances power generation but also improves the integration of renewable energy sources and boosts energy storage capacities, particularly beneficial for less economically prosperous regions. Additionally, the study provides valuable insights for advancing energy research in economically viable areas. Recommendations included conducting site-specific assessments, utilizing advanced modeling tools, implementing regular maintenance protocols, and enhancing communication among system components.
EFFECT OF EQUAL AND MISMATCHED SIGNAL TRANSITION TIME ON POWER DISSIPATION IN GLOBAL VLSI INTERCONNECTS
1. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.4, August 2012
DOI : 10.5121/vlsic.2012.3410 111
EFFECT OF EQUAL AND MISMATCHED SIGNAL
TRANSITION TIME ON POWER DISSIPATION IN
GLOBAL VLSI INTERCONNECTS
Devendra Kumar Sharma*
, Brajesh Kumar Kaushik#
, and R.K.Sharma$
*
Department of ECE, Meerut Institute of Engineering and Technology, Meerut, INDIA
#
Department of Electronics and Computer Engineering, Indian Institute of Technology,
Roorkee, INDIA
$
Department of ECE, National Institute of Technology, Kurukshetra, Haryana, INDIA
{d_k_s1970@yahoo.co.in,bkk23fec@iitr.ernet.in,mail2drrks@gmail .com}
ABSTRACT
High density chips have introduced problems like crosstalk noise and power dissipation. The mismatching
in transition time of the inputs occurs because different lengths of interconnects lead to different parasitic
values. This paper presents the analysis of the effect of equal and unequal (mismatched) transition time of
inputs on power dissipation in coupled interconnects. Further, the effect of signal skew on transition time is
analysed. To demonstrate the effects, a model of two distributed RLC lines coupled capacitively and
inductively is taken into consideration. Each interconnect line is 4mm long and terminated by capacitive
load of 30fF. The analysis is carried out for simultaneously switching lines. The results are obtained
through SPICE simulations and waveforms are generated.
KEYWORDS
Equal / Unequal rise time, Power dissipation, simultaneous switching, Signal Skew
1. INTRODUCTION
The growth of VLSI circuits is largely dependent on technology scaling. The integration of more
and more functionalities on chip causes die size to increase which results in complex geometry of
interconnect wires on chip. With technology advancement, on chip interconnects have turned out
to be more and more important than transistor resource [1], [2]. As per international technology
roadmap for semiconductors (ITRS) [3], the gap between interconnection delay and gate delay
will increase to 9:1 and on-chip wire length is expected to increase to 2.22 km/cm2
for future
nanometer scale integrated circuits. So, for high speed high density chips, the chip performance is
mostly affected by the interconnections rather than device performance.
The decrease in interconnect width and thickness leads to increase in resistance while short
spacing between them progressively increases the parasitic capacitance. With high clock speed,
faster signal rise time and longer wire lengths, the inductance of interconnect significantly plays a
major role in on-chip circuit performance [4]. Due to the presence of these line parasitics effect,
the RLC distributed model or transmission line model [2], [5] is more effective in current
technology. The short spacing between interconnect wires, longer wire lengths and high
operational frequency causes significant value of coupling parasitics i.e. mutual inductance (M)
and coupling capacitance (CC) in the circuit. The resulting effect of these parasitics is crosstalk
noise, propagation delay and power dissipation. These performance parameters affect the signal
integrity.
2. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.4, August 2012
112
The denser designs of integrated circuits introduce problems of power dissipation. So, the power
analysis of integrated circuits becomes an important issue of study. Small power consumption
makes the circuit/device more reliable. The CMOS circuits are best known for its low power
consumption. Below submicron technology, the power consumption per unit area of CMOS chip
has risen tremendously. Some of the factors affecting the power consumption are input voltage
level, input transition time, output loading and power dissipation capacitances. The power
dissipation in CMOS circuit consists of two components i.e. static and dynamic dissipation. The
static power component is the result of leakage currents and sub-threshold currents and is quite
low. The dynamic power dissipation, which is due to charging and discharging of parasitic
capacitive load of interconnects and devices, contributes dominantly. The dynamic power
dissipation may be written as
fVKCP ddT
2
= (1)
In Eq.(1), CT comprises of various capacitances i.e. interconnect parasitic capacitance, load
capacitance (CL) and drain and source capacitances. The Vdd is power supply voltage, f is
operating frequency and K is switching activity factor. There are two factors affecting the
dynamic power dissipation viz. clock speed and transistor density. If the transistors are switching
more rapidly, the power dissipation will be more. The dynamic power dissipation is due to
switching current and through current. The through current is due to short circuit path between
supply and ground rails during switching and is dependent on the input rise/fall time, the load
capacitance and gate design [6]. The power dissipation due to through current is always
substantially smaller than switching power. To obtained the total power dissipation (Eq.(2)), the
three dissipation components may be added [6],
PTotal = PStatic + PDynamic + PShort circuit (2)
A great deal of research has been done on the analysis of crosstalk noise and delay [7], [8], [9],
[10], [11], [12]. However, researchers have reported the power dissipation in interconnects
considering different aspects. Power related issues of CMOS in nanometer regime are reported in
[13]. The analysis of power consumption in optimally buffered single line RC model is reported
in [14]. The power dissipation is analyzed against variations in interconnect width for uncoupled
line [15]. Power dissipation is analyzed against variations in load for capacitively coupled
interconnects [16]. A method for analyzing power distribution using reduced order model is
reported in [17]. This paper is an extended version of [18]. Firstly, this paper re-addresses the
power dissipation dependencies on equal and unequal transition time of inputs in capacitively and
inductively coupled interconnects. The analysis is equally important because of the fact that the
two inputs may have different transition time due to different length of interconnects. Secondly,
this paper addresses the effect of skew on signal transition time. Skew is the difference between
actual and expected arrival time of the pair of signals. Some of the factors affecting signal skew
are process variation, wire and coupling parasitics, clock loading. The signal skew is dependent
on wire delay which in turn depends on many factors. The impact of signal skew on delay and
overshoot noise is presented in [9]. The effects of coupling parasitics on skew are analyzed in
[19].
This paper is organized in four sections. Section 2 describes the simulation setup of interconnect
model under consideration. The effects of equal and unequal (mismatched) transition time of
inputs to two interconnect lines on power dissipation are observed and discussed in section 3. The
analysis of the effects of skew on signal transition time is presented in section 4. Finally, section
5 concludes this paper.
3. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.4, August 2012
113
2. SIMULATION SETUP
For analysis, two uniformly distributed RLC lines coupled capacitively and inductively as shown
in Fig. 1. are simulated. . The length of each interconnect is taken as 4mm and each line of
coupled structure is 2 µm wide, 0.68 µm thick and separated by 0.24 µm [12]. The global
interconnect is taken into consideration and it is assumed that there are several metal layers
available for the interconnects
Figure 1. Coupled lines
=
500,120
0500,12
R
−
−
=
pp
pp
C
19064
64190
=
µµ
µµ
722.14.1
4.1722.1
L
Figure 2. Line parasitic matrices
It is well accepted that the simulations of a distributed RLC interconnect line matches more
accurately the actual behavior as compared to lumped model [2]. So, fifty distributed lumps of
gamma type are taken for the length of interconnect under consideration. The parasitics values are
obtained from expressions reported in [20], [21]. The far end of interconnect lines are terminated
by a capacitive load of 30 fF. The interconnect parasitics matrices for one meter length are shown
in Fig. 2. The simulations use an IBM 0.13 µm technology node with copper interconnect process
(MOSIS) with a power supply voltage of 1.5 V. The width of driver PMOS and NMOS are taken
as 70 µm and 35 µm respectively. To carry the analysis, results are obtained through SPICE
simulations and waveforms are generated.
3. IMPACT OF EQUAL AND UNEQUAL TRANSITION TIME OF INPUTS
The transition time is defined as the time for a signal to go from 10 % to 90 % of its final value.
The power dissipation is dependent on the supply current which in turn is sensitive to input
transition time. In this section, the impact of equal rise time (tr1 = tr2) and unequal rise time
(tr1 ≠ tr2) of inputs to the two interconnect lines (Figure 1) are observed. The unequal rise time is
because of different lengths of wire which maps into different parasitic values.
For analysis, the rise time of both the inputs is varied equally from 10 to 760 ps. In case of
unequal rise time of inputs, the difference i.e. ∆ tr = tr1 ~ tr2 is varied from 0 to 750 ps. The
interconnect model under consideration is SPICE simulated for equal and unequal transition time
of inputs. The two cases of simultaneously switching inputs are taken into consideration i.e.
Vdd
CL
M, CC
CL
Interconnect line
R, L, C
Interconnect line
R, L, C
Vdd
Input
tr2
tr1
4. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.4, August 2012
114
Case I: Both inputs are switching in same phase i.e. from high to low or from low to
high.
Case II:Both inputs are switching in opposite phase i.e. aggressor input in switching
from high to low and victim input is switching from low to high.
3.1 Results and Observations
To show the impact of equal and unequal rise time of inputs on power dissipation, the waveforms
are generated as shown in Figure 3-6.
From these figures, following observations are drawn.
(i) For in-phase switching of inputs, it is observed from Figure 3 that there is wide variation of
power dissipation for high to low switching. However, the power dissipation is quite low for low
to high switching. This is from the fact that for high to low switching of driver inputs, the
parasitics are charging. After the parasitics get charged, the power dissipation is minimum. Also,
for low value of rise time, the inductive effect becomes prominent. For low to high switching of
driver inputs, the parasitics are discharging. So, power consumption is low. Furthermore, it is
observed that the peak value of power dissipation for in-phase switching of inputs is 14.1mW for
the specified range of rise time under consideration.
Same phase switching of inputs
Equal rise time
0.00
2.00
4.00
6.00
8.00
10.00
12.00
14.00
16.00
10 160 310 460 610 760
Rise time (ps)
Powerdissipation(mw)
High to low
sw itching
Low to high
sw itching
Figure 3. Power dissipation as function of rise time for in-phase switching
5. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.4, August 2012
115
Same phase switching of inputs
Unequal rise time
0
2
4
6
8
10
12
14
16
0 150 300 450 600 750
Difference in rise time (ps)
Powerdissipation(mw)
High to low
sw itching
Low to high
sw itching
Figure 4. Power dissipation as function of ∆ tr for in-phase switching
Opposite Phase Switching of inputs
Equal rise time
0.00
1.00
2.00
3.00
4.00
5.00
6.00
7.00
8.00
9.00
10.00
10 160 310 460 610 760
Rise time (ps)
Powerdissipation(mw)
Figure 5. Power dissipation as function of rise time for out-of-phase switching
(ii) Figure 4 shows the simulation results for in-phase switching wherein difference in rise time
( ∆ tr) is varied by taking into consideration both the transitions from high to low and low to high.
It is observed that, for inputs switching from high to low, the power dissipation first increases and
then starts decreasing monotonically. However, the power dissipation when inputs are switching
from low to high is quite low as compared to high to low for the specified range of ∆ tr under
consideration. The reason can be explained in the similar way as explained earlier. Furthermore, it
is observed from Figure 4 that the maximum power dissipation for this case is 13.8 mW.
6. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.4, August 2012
116
Opposite Phase Switching of inputs
Unequal rise time
0.00
1.00
2.00
3.00
4.00
5.00
6.00
7.00
8.00
9.00
0 150 300 450 600 750
Difference in rise time (ps)
Powerdissipation(mw)
Figure 6. Power dissipation as function of ∆ tr for out-of-phase switching
(iii) For opposite phase switching and equal rise time of inputs (Figure 5), it is observed that the
power dissipation decreases with increasing rise time. In this case, it is observed that the
maximum power dissipation is 8.66 mW which is reasonably smaller than the value of maximum
power dissipation observed in case of same phase switching of inputs. However, at large values of
rise time in the specified range, the power dissipation for the two cases of simultaneous switching
(Case I and Case II) is quite low.
(iv) From Figure 6, it is observed that, with increasing ∆ tr, the power dissipation decreases
dramatically and a minima of value 0.0423 mW occurs at 600ps difference in rise time.
4. EFFECT OF SIGNAL SKEW ON TRANSITION TIME
Skew is the time difference (∆) between the actual and expected arrival times of a pair of signals
as illustrated in Figure 7. Signal skew is dependent on wire delay. Since, wire delay is dependent
on many factors, one of those is signal transition time, so, signal skew will have some effect on
signal transition time. In this section, the analysis of the effect of skew on signal rise time is
carried out for the two cases of simultaneously switching lines. To observe the effects, skew is
varied from 5ps to 95ps in steps of 10ps. The coupled model is simulated and the rise time (10%
to 90% of signal final value) is observed at far end of lines.
In-phase switching Out-of-phase switching
Figure 7. Signal Skew
∆
∆
7. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.4, August 2012
117
4.1 Results and Observations
The rise time observed for in-phase and out-of-phase switching of lines with growing signal skew
is shown in Figure 8. From this figure, it is observed that the rise time increases with increasing
signal skew for the two cases of simultaneous switching of lines under consideration. This is from
the fact that with increasing skew, the overlapping in the transition region of the two signals
decreases, so, inductive coupling reduces. It is further observed from the figure that, for opposite
phase switching of inputs, the rise time is large as compared to in-phase switching at all values of
signal skew for the specified range.
0
20
40
60
80
100
120
140
160
5 15 25 35 45 55 65 75 85 95
Skew (ps)
Risetime(ps) Same phase sw itching
Opposite phase sw itching
Figure 8. Rise time as function of signal skew
5. CONCLUSION
This paper addressed the power dissipation issues in inductively and capacitively coupled VLSI
interconnects for simultaneously switching inputs. The results have been analyzed for equal and
unequal (mismatched) rise time variations. For in-phase switching of inputs, it is observed that
the maximum value of power dissipation is 14.1 mW for the specified range of rise time.
However, the power dissipation is quite low for low to high switching mode. Furthermore, for
opposite phase switching of inputs, the power dissipation decreases monotonically with increase
in rise time of inputs equally. It has also been shown that the signal transition time is dependent
on skew. It is observed that the signal rise time increases with increasing skew for simultaneously
switching lines.
REFERENCES
[1] Bakoglu, H.B., Circuits, Interconnections and Packaging for VLSI, Addison-Wesley pp. 81-133,
1990.
[2] Rabaey, J.M., Digital Integrated Circuits: A Design Perspective. Prentice-Hall, Englewood Cliffs,
N.J., 1996.
[3] Semiconductors Industry Association: International Technology Roadmap for Semiconductors, (on
line) http://public.itrs.net
8. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.4, August 2012
118
[4] smail, Y.I., Friedman, E.G, “Figures of Merit to Characterize the Importance of On-Chip Inductance,”
IEEE Trans. on VLSI Systems, vol. 7, no. 4, pp. 442-449, 1999.
[5] Ismail, Y.I., “On-chip Inductance Cons and Pros,” IEEE Trans. on Very Large Scale Integration
Systems, vol. 10, no. 6, pp. 685-694 , 2002.
[6] Weste, Neil H.E., Eshraghian K, Principles of CMOS VLSI Design: A System Perspective. Addison
Wesley, 1998.
[7] Kaushik, B.K., Sarkar, S., Agarwal, R.P., Joshi, R.C, “Crosstalk Analysis and Repeater Insertion in
Crosstalk Aware Coupled VLSI Interconnects,” Microelectronics International, vol. 23, no. 3, pp. 55-
63, 2006.
[8] Elgamel, M.A., Bayoumi, M.A, “Interconnect Noise Analysis and Optimization in Deep Submicron
Technology,” IEEE Circuits and Systems Magazine, Fourth quarter, pp. 6-17, 2003.
[9] Roy, A. Jingye, Xu., Chowdhury, M.H, “Analysis of the Impacts of Signal Slew and Skew on the
Behavior of Coupled RLC Interconnects for Different Switching Patterns,” IEEE Trans. on VLSI
Systems, vol. 18, no. 2, 2010.
[10] Chowdhury, M.H., Ismail, Y.I., Kashyap, C.V., Krauter, B.L, “Performance Analysis of Deep
Submicron VLSI Circuits in the Presence of Self and Mutual Inductance,” Proc. IEEE Int. Symp. Cir.
and Syst, vol. 4, pp. 197-200, 2002.
[11] Kahng, A.B., Muddu S., Vidhani, D, “Noise and Delay Uncertainty Studies for Coupled RC
Interconnects,” In: Proc. IEEE Intl. Conf. on VLSI Design, pp. 431-436, 2004.
[12] Sharma, D.K., Kaushik, B.K., Sharma, R.K, “Effect of Mutual Inductance and Coupling Capacitance
on Propagation Delay and Peak Overshoot in Dynamically Switching Inputs,” Proc. IEEE Intl. Conf.
on Emerging Trend in Engineering and Technology, pp. 765-769, 2010.
[13] Ekekwe Ndubuisi, “Power Dissipation and Interconnect Noise Challenges in Nanometer CMOS
Technologies,” IEEE Potentials, pp. 26-31, 2010.
[14] Shin, Y., Kim H.O, “Analysis of Power Consumption in VLSI Global Interconnects,” IEEE
International Symp. on Circuits and Systems, vol. 5, pp. 4713-4716, 2005.
[15] Kaushik, B.K., Sarkar, S., Agarwal, R.P, “Width optimization of global inductive VLSI
interconnects,” Microelectronics International, vol. 23, iss. 1, pp. 26-30, 2006.
[16] Khanna Gargi, Chandel R., Chandel A. K., Sarkar S, “Analysis of Non-ideal Effects in Coupled VLSI
Interconnects with Active and Passive Load Variations,” Microelectronics International, vol. 26, no.
1, pp. 3-9, 2009.
[17] Shin, Y., Sakurai, T., “Power Distribution Analysis of VLSI Interconnects using Model Order
Reduction,” IEEE Trans. on Computer-Aided Design, vol. 21, no. 6, pp. 739-745, 2002.
[18] Sharma, D.K., Kaushik, B.K., Sharma, R.K., “Analysis of Equal and Unequal Transition Time Effects
on Power Dissipation in Coupled VLSI Interconnects,” Advances in Intelligent and Soft Computing,
2012, vol. 166, Advances in Computer Sc., Engineering and Applications, pp. 137-144.
[19] Roy, A., Mahmoud, N., Chowdhury, M.H, “Effects of Coupling Capacitance and Inductance on Delay
Uncertainty and Clock Skew,” Proceeding of the IEEE/ACM Design Automation Conference, pp.
184-187, June 2007.
[20] Delorme, N., Belleville, M., Chilo, J., “Inductance and Capacitance Analytic Formulas for VLSI
Interconnects,” Electron Lett., vol. 32, no. 11, pp-996-7, 1996.
9. International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.4, August 2012
119
[21] Lu, Y., Banerjee, K., Celik, M., Dutton, R.W., “A Fast Analytical Technique for Estimating the
Bounds of On-Chip Clock Wire Inductance,” Proc. IEEE Custom Integrated Circuits Conf., pp. 241-
244, 2001
Authors
D.K.Sharma received his B.E degree in Electronics Engineering from M. N. R
Engineering College, Allahabad and M.E degree from University of Roorkee in 1989
and 1992 respectively. Currently, he is pursuing his Ph.D in VLSI design from NIT
Kurukshetra, India. He served various PSUs in different positions for more than 8
years in Q.A & Testing /R & D departments. He joined department of Electronics
and Communication Engineering, Meerut Institute of Engineering & Technology,
Meerut, India in 2000 and presently working as Assistant Professor. His current
interests include VLSI design, Circuit testing, Signal processing and Bio medical
electronics.
B.K.Kaushik received his BE degree in Electronics and Communication
Engineering from C.R.State College of Engineering, Murthal, Haryana in 1994;
M.Tech in Engineering Systems, from Dayalbagh Educational Institute-Agra, in
1997; and PhD under AICTE-QIP scheme from IIT Roorkee, India. Presently, he is
serving as Assistant Professor in Department of Electronics and Computer
Engineering, IIT-Roorkee. He has extensively published papers in reputed journals
and conferences. His research interests are in Electronic simulation, Low power
VLSI Design, Microprocessor based system design and Digital Signal Processing.
He is reviewer of many reputed international journals. Presently, he holds the
position of Editor in Chief of International Journal on VLSI and Embedded System
(IJVES) and International Journal of VLSI Design & Communication Systems (VLSICS), and Editor of
Journal of Electrical and Electronics Engineering Research, Academic Journals
R.K.Sharma received B.Sc. (Engg) Degree in Electrical Engineering from
Dayalbagh Educational Institute Agra in 1986, the M.Tech Degree in Electronics and
Communication Engineering from Kurukshetra University Kurukshetra (through
REC Kurukshetra) in 1992, and the Ph.D. Degree in Electronics from Kurukshetra
University Kurukshetra (through National Institute of Technology Kurukshetra) in
2007. He has been working at NIT Kurukshetra since 1989 and is currently holding
the position of Professor in Electronics and Communication Engineering
Department. Dr R.K.Sharma has published several research articles in international
journals and conference proceedings. He has chaired sessions in international
conferences. He received ISA-VSI Technoinventor award for outstanding doctorate
research in 2007. His current interest includes low power design of configurable and embedded systems. Dr
R.K.Sharma is a member of IEEE, fellow IETE and life member ISTE.