SlideShare a Scribd company logo
1 of 4
Download to read offline
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 926
Design and Implementation of Test Vector Generation Using Random
Forest Technique
Naveen T V1, Dr. M V Latte2, Mr. Sathish Shet3, Mr. Shashidhara H R4
1M.Tech Student, VLSI Design & Embedded Systems, Dept. of ECE, JSSATE, Bengaluru, Karnataka, India
2The Principal, JSSATE, Bengaluru, Karnataka, India
3Asst. Professor, Dept. of ECE, JSSATE, Bengaluru, Karnataka, India
4 Asst. Professor, Dept. of ECE, JSSATE, Bengaluru, Karnataka, India
---------------------------------------------------------------------***---------------------------------------------------------------------
Abstract: Testing is an important part of any digital design
process. For any quality products, it is important to determine
the faults and to diagnose the fault in testing, so correctness
and effectiveness will be the most important role in testing.
Manualtesting can bedone for smaller designs,inwhichinputs
can be given to the system by forcing the values and observing
the output. Asthe complexityof thedesignincreasesthetesting
becomes tedious and hence automation is required. ATPG
(Automatic Test Pattern Generation)isanautomationmethod,
which is used to find an input (or test) sequence applied to a
digital circuit and enables the test equipment to compare
between the correct and faulty circuit behavior caused by
defects. These generated patterns are used to test integrated
circuits after manufacture, and to assist for determining the
reasons for failure. Usually the effectiveness of ATPG is
calculated by the number of defects, or fault models which are
determined and the total number of generated patterns used.
Keywords: ATPG (Automatic Test Pattern Generation), DUT
(Device Under Test), ATPG Algorithm.
1. INTRODUCTION
ATPG stands forautomatic test pattern generation.As the
namesuggests, ATPG procedureinvolves generation of input
patterns that can ascertain presence or absence of fault(s) at
somelocation(s) in a circuit.There are several ways of ATPG.
 Fault simulation
 Sensitization–propagation–justification.
These techniques are based on Boolean logic
manipulations and are most widely used. However, it must
not be felt that only logic based schemes can be used for
ATPG [3]. Here another interesting ATPG technique is that
requires thermal imaging technique. Thermal imaging
technique involves taking images of the silicon (of the
circuit) and then drawing conclusionsbasedontemperature
profile of various regions of the silicon. In case of ATPG
based on imaging technique, one needs to find an input
pattern that results in differenceoftemperatureata location
(which is being tested) with and without fault.
For example, when a net says A, has stuck-at-0 fault
and primary inputs been applied such that net A gets 1, then
temperature in A will be abnormally higher, due to high
short circuit current. The advantage is, one need not have to
worry about propagating the effect of the fault to primary
outputs, as all internal points are observable in terms of
temperature profile. So, sensitizationisenoughtogeneratea
test pattern [6]. However, the instrumentation cost for
thermal imaging is high. So, widely used ATPG algorithms
are based on logic manipulations.
1.1 Types of ATPG Algorithms
 Exhaustive: Testing by “exhaustive” technique
implies applying all input combinations and checking for
“functional” correctness. Exhaustive testing techniques are
not used in ATPG because of complexity.
Random: Random ATPG basically involves three
steps: Generate random pattern.Determinehowmanyfaults
are detected by the random pattern (fault simulation)
[7].Continue the above two steps till no (or few) new faults
are detected by the random pattern.
2. DESIGN
The designing of the algorithm involves various
techniques for the generation of test vector randomly for
testing the digital circuits[1]. To test all the faults defined by
the universal fault model in a combinational circuit with n
PIs, we need to apply all 2n
possible input vector. The
exponential growth of the required number of vectors limits
the practical applicability of the testing method only to
circuits withless than 20 PIs. Pseudo-random techniques for
automatically generating simulation vectors are widely in
use. Simulation tools may userandom vectorgenerators,and
randomly generate 1s and 0s, collect a vector of inputs and
apply them to the design and perform thesimulation.Often,a
situation arises where certain areas of code (or parts of the
design) are not excited by the generated set of vectors. The
basic block diagram is shown in figure 2.1.
Volume: 04 Issue: 08 | Aug -2017 www.irjet.net p-ISSN: 2395-0072
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 927
Figure 2.1: ATPG Module
At the inner core, it consists of the ATPG module and the
DUT/CUT block. For simple designs ATPG block can be
ignored but for complex designstheyarebreadandbutterfor
testing. The ATPG block takes the input from the test bench/
testing module and produces the random test vectors. These
test vectors are then used for the functionality testing of
DUT[8].
Fig 3.2: Block diagram for overall flow of test pattern
generation
3. RESULTS AND DISCUSSIONS
The Test pattern generation unit has been designed and
random test vector shave been obtained. The functional
verification and validation are the two types of results that
will be obtained. Let us see them in detail.
3.1 Functionality Verification:
VectorGeneration: Thefiguresshowtheresultsobtained
for the designed structural method. As seen in the figure 3.1,
the input considered is 8 for ‘n’ which generates 2n vectors
256 sequences.
There can be a maximum of 2n test vectors. Since
only the test vectors which are greater than the input is
stored we can observe numbers of test vectors are less than
maximum possible vectors
The figure3.2showsthevectorsgeneratedinone-bit
change. This helps the algorithm to generate the vectors in
less number of clock cycles.As seenthevectorsgeneratedare
random in nature.
Figure 3.1: Simulation result of structural method
vector generation
Figure 3.2: Simulation result of structural method one
bit change vector
3.2. Validation of Combinational Circuits:
 Multiplexer
The multiplexerorMUXisadigitalswitch,alsocalled
as data selector. It is a combinational circuit with more than
one input line, one output line and more than one select line.
It allows the binary information from several input lines or
sources and depending on the set of select lines, input line, is
routed onto a single output line.
The figure 3.3 shows the block diagram of a
multiplexer consisting of n input lines, m selection lines and
one output line. If there are m selection lines, then the
number of possible inputlinesis2m.Alternativelywecansay
that if the number of input lines is equal to 2m, then m
selection lines are required to select one of n (consider 2m =
n) inputlines.
Volume: 04 Issue: 08 | Aug -2017 www.irjet.net p-ISSN: 2395-0072
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 928
This type of multiplexer is referred to as 2n × 1
multiplexer or 2n -to-1 multiplexer. For example,ifoneofthe
4 input lines must be selected, then two select lines are
required. Similarly, to select one of 8 input lines, three select
lines are required.
Figure 3.3: General working of MUX
 8:1 Multiplexer
An 8-to-1 multiplexer consists of eight data inputs
D0 through D7, three input select lines S2 through S0 and a
single output line Y. Depending on the select lines
combinations, multiplexer decodes the inputs.
The figure 3.4 shows the block diagram of an 8-to-1
multiplexer with enable input that enable or disable the
multiplexer. Since the number data bits given to the MUX are
eight then 3 bits (23=8) are needed to select one of the eight
data bits.
The figure 3.5 shows thevalidatedoutputoftheDUT
8:1 MUXlinked to the vectorgeneration code. Here theselect
line is given as 010 whose decimal value is 2, hence3rd
linein
output MUX is validated. Any number of DUT’s can be linked
to the ATPG program for validation.
The figure 3.6 shows the output of the 8:1 MUX when the
program has error in it, so the program can be altered to
generate proper output.
Figure 3.4: Block diagram of 8:1 MUX
Figure 3.5: Valid 8:1 MUX output
Figure 3.6: Invalid 8:1 MUX output
3.3 Validation of Sequential Circuits
 D Flip Flop
D flip – flops are also called as “Delay flip – flop”.
They are used to store 1 – bit binary data. They are one of the
widely used flip – flopsindigitalelectronics.Apartfrombeing
the basic memory element in digitalsystems,Dflip–flopsare
alsoconsidered as Delay lineelements andZero–OrderHold
elements.
D flip – flop has two inputs, a clock (CLK) input and a data
(D) input and two outputs; one is main output represented
by Q and the other is complement of Q represented by Q’.
The symbol of a D flip –flop is shown in fig 3.7.
Figure 3.7: block diagram of D Flip-Flop
Volume: 04 Issue: 08 | Aug -2017 www.irjet.net p-ISSN: 2395-0072
International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056
© 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 929
Fig 3.7: Output of D FLIP FLOP design
4. CONCLUSION
Testing isan important part of anydigitaldesignprocess.
Testing is used in semiconductor technology to distinguish
between the correct and faulty behavior. Testing and its
application and has undergone tremendous development
and growth. ATPG is one of the most popular techniques of
testing VLSI design. This projectisdesignedfortwomethods
of random test vectors generation. Verified thefunctionality
of the designed ATPG module. Validated the Verilog design
of 8:1 multiplexer and D Flip Flop using ModelSim. Can
improve the design to locatethe actualfault. Randomization
can be increased up to N states. Synthesize and Physical
design can be implemented for the design.
5. REFERENCES
[1] Shashidhara, H. R. "TestvectorGenerationusingdifferent
logic regression method". Applied and Theoretical
Computing andCommunicationTechnology(iCATccT),2016
2nd International Conference on. IEEE, 2016.
[2] S Hemalatha, “Automatic Test Generation for Digital
Circuits”, International Journal of Scientific & Technology
Research Volume 3, Issue 2, February 2014.
[3] John Sunwoo, Vishwani D. Agrawal,” A new ATPG
algorithm for 21
st
century”, Dept. of Electrical and Computer
Engineering Auburn University
[4] Raghuram S. Tupuri and Jacob A. Abraham, “A Novel
Functional Test Generation Method for Processors using
Commercial ATPG”, Advanced Micro devices, University of
Texas at Austin
[5] Tracy Larrabee, “A Framework for Evaluating Test
Pattern Generation Strategies”, International Test
Conference, March 1990
[6] Tracy Larrabee, “Efficient Generation of Test Pattern
Using Boolean Difference”, International Test Conference,
March 1990.
[7] Yu Zhang, “Diagnostic Test Pattern Generation and Fault
Simulation forStuck-at and Transition Faults”,Adissertation
submitted to the Graduate Faculty of Auburn University.
[8] Abramovici, Miron, Melvin A. Breuer, and Arthur D.
Friedman. Digital systems testing and testable design. Vol. 2.
New York: Computer science press, 1990.
[9] ASIC Lab Manual Revision 4.0 IES132 RC132 EDI132
Developed by University Support Team Cadence Design
Systems, Bangalore.
[10]http://en.wikipedia.org/wiki/Automatic_test_pattern_ge
neration
[11] ModelSim® Tutorial, Software
Volume: 04 Issue: 08 | Aug -2017 www.irjet.net p-ISSN: 2395-0072

More Related Content

What's hot

IRJET- An Acute Method of Encryption & Decryption by using Histograms and Che...
IRJET- An Acute Method of Encryption & Decryption by using Histograms and Che...IRJET- An Acute Method of Encryption & Decryption by using Histograms and Che...
IRJET- An Acute Method of Encryption & Decryption by using Histograms and Che...IRJET Journal
 
SINGLE PRECISION FLOATING POINT MULTIPLIER USING SHIFT AND ADD ALGORITHM
SINGLE PRECISION FLOATING POINT MULTIPLIER USING SHIFT AND ADD ALGORITHMSINGLE PRECISION FLOATING POINT MULTIPLIER USING SHIFT AND ADD ALGORITHM
SINGLE PRECISION FLOATING POINT MULTIPLIER USING SHIFT AND ADD ALGORITHMAM Publications
 
SURVEY ON POLYGONAL APPROXIMATION TECHNIQUES FOR DIGITAL PLANAR CURVES
SURVEY ON POLYGONAL APPROXIMATION TECHNIQUES FOR DIGITAL PLANAR CURVESSURVEY ON POLYGONAL APPROXIMATION TECHNIQUES FOR DIGITAL PLANAR CURVES
SURVEY ON POLYGONAL APPROXIMATION TECHNIQUES FOR DIGITAL PLANAR CURVESZac Darcy
 
IRJET - Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET -  	  Comparison of Vedic, Wallac Tree and Array MultipliersIRJET -  	  Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET - Comparison of Vedic, Wallac Tree and Array MultipliersIRJET Journal
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderVLSICS Design
 
Extended Fuzzy C-Means with Random Sampling Techniques for Clustering Large Data
Extended Fuzzy C-Means with Random Sampling Techniques for Clustering Large DataExtended Fuzzy C-Means with Random Sampling Techniques for Clustering Large Data
Extended Fuzzy C-Means with Random Sampling Techniques for Clustering Large DataAM Publications
 
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting Technique
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting TechniqueLossless Data Compression Using Rice Algorithm Based On Curve Fitting Technique
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting TechniqueIRJET Journal
 
IRJET- Malayalam Text Detection from Natural-Scene Images
IRJET- Malayalam Text Detection from Natural-Scene ImagesIRJET- Malayalam Text Detection from Natural-Scene Images
IRJET- Malayalam Text Detection from Natural-Scene ImagesIRJET Journal
 
IRJET- Matrix Multiplication using Strassen’s Method
IRJET-  	  Matrix Multiplication using Strassen’s MethodIRJET-  	  Matrix Multiplication using Strassen’s Method
IRJET- Matrix Multiplication using Strassen’s MethodIRJET Journal
 
Adaptive pi based on direct synthesis nishant
Adaptive pi based on direct synthesis nishantAdaptive pi based on direct synthesis nishant
Adaptive pi based on direct synthesis nishantNishant Parikh
 
IRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo Adder
IRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo AdderIRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo Adder
IRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo AdderIRJET Journal
 
Performance boosting of discrete cosine transform using parallel programming ...
Performance boosting of discrete cosine transform using parallel programming ...Performance boosting of discrete cosine transform using parallel programming ...
Performance boosting of discrete cosine transform using parallel programming ...IAEME Publication
 
A fast fpga based architecture for measuring the distance between
A fast fpga based architecture for measuring the distance betweenA fast fpga based architecture for measuring the distance between
A fast fpga based architecture for measuring the distance betweenIAEME Publication
 
A computationally efficient method to find transformed residue
A computationally efficient method to find transformed residueA computationally efficient method to find transformed residue
A computationally efficient method to find transformed residueiaemedu
 
IRJET- A Study on Algorithms for FFT Computations
IRJET- A Study on Algorithms for FFT ComputationsIRJET- A Study on Algorithms for FFT Computations
IRJET- A Study on Algorithms for FFT ComputationsIRJET Journal
 
IRJET- Application of False Removal Algorithm Specially for Retinal Images wi...
IRJET- Application of False Removal Algorithm Specially for Retinal Images wi...IRJET- Application of False Removal Algorithm Specially for Retinal Images wi...
IRJET- Application of False Removal Algorithm Specially for Retinal Images wi...IRJET Journal
 
Fpga implementation of high speed
Fpga implementation of high speedFpga implementation of high speed
Fpga implementation of high speedeeiej
 
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...eeiej_journal
 

What's hot (20)

IRJET- An Acute Method of Encryption & Decryption by using Histograms and Che...
IRJET- An Acute Method of Encryption & Decryption by using Histograms and Che...IRJET- An Acute Method of Encryption & Decryption by using Histograms and Che...
IRJET- An Acute Method of Encryption & Decryption by using Histograms and Che...
 
SINGLE PRECISION FLOATING POINT MULTIPLIER USING SHIFT AND ADD ALGORITHM
SINGLE PRECISION FLOATING POINT MULTIPLIER USING SHIFT AND ADD ALGORITHMSINGLE PRECISION FLOATING POINT MULTIPLIER USING SHIFT AND ADD ALGORITHM
SINGLE PRECISION FLOATING POINT MULTIPLIER USING SHIFT AND ADD ALGORITHM
 
SURVEY ON POLYGONAL APPROXIMATION TECHNIQUES FOR DIGITAL PLANAR CURVES
SURVEY ON POLYGONAL APPROXIMATION TECHNIQUES FOR DIGITAL PLANAR CURVESSURVEY ON POLYGONAL APPROXIMATION TECHNIQUES FOR DIGITAL PLANAR CURVES
SURVEY ON POLYGONAL APPROXIMATION TECHNIQUES FOR DIGITAL PLANAR CURVES
 
IRJET - Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET -  	  Comparison of Vedic, Wallac Tree and Array MultipliersIRJET -  	  Comparison of Vedic, Wallac Tree and Array Multipliers
IRJET - Comparison of Vedic, Wallac Tree and Array Multipliers
 
Implementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adderImplementation of an arithmetic logic using area efficient carry lookahead adder
Implementation of an arithmetic logic using area efficient carry lookahead adder
 
64 BIT FPU
64 BIT FPU64 BIT FPU
64 BIT FPU
 
Extended Fuzzy C-Means with Random Sampling Techniques for Clustering Large Data
Extended Fuzzy C-Means with Random Sampling Techniques for Clustering Large DataExtended Fuzzy C-Means with Random Sampling Techniques for Clustering Large Data
Extended Fuzzy C-Means with Random Sampling Techniques for Clustering Large Data
 
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting Technique
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting TechniqueLossless Data Compression Using Rice Algorithm Based On Curve Fitting Technique
Lossless Data Compression Using Rice Algorithm Based On Curve Fitting Technique
 
IRJET- Malayalam Text Detection from Natural-Scene Images
IRJET- Malayalam Text Detection from Natural-Scene ImagesIRJET- Malayalam Text Detection from Natural-Scene Images
IRJET- Malayalam Text Detection from Natural-Scene Images
 
IRJET- Matrix Multiplication using Strassen’s Method
IRJET-  	  Matrix Multiplication using Strassen’s MethodIRJET-  	  Matrix Multiplication using Strassen’s Method
IRJET- Matrix Multiplication using Strassen’s Method
 
Unsupervised learning networks
Unsupervised learning networksUnsupervised learning networks
Unsupervised learning networks
 
Adaptive pi based on direct synthesis nishant
Adaptive pi based on direct synthesis nishantAdaptive pi based on direct synthesis nishant
Adaptive pi based on direct synthesis nishant
 
IRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo Adder
IRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo AdderIRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo Adder
IRJET- Implementation of FIR Filter using Self Tested 2n-2k-1 Modulo Adder
 
Performance boosting of discrete cosine transform using parallel programming ...
Performance boosting of discrete cosine transform using parallel programming ...Performance boosting of discrete cosine transform using parallel programming ...
Performance boosting of discrete cosine transform using parallel programming ...
 
A fast fpga based architecture for measuring the distance between
A fast fpga based architecture for measuring the distance betweenA fast fpga based architecture for measuring the distance between
A fast fpga based architecture for measuring the distance between
 
A computationally efficient method to find transformed residue
A computationally efficient method to find transformed residueA computationally efficient method to find transformed residue
A computationally efficient method to find transformed residue
 
IRJET- A Study on Algorithms for FFT Computations
IRJET- A Study on Algorithms for FFT ComputationsIRJET- A Study on Algorithms for FFT Computations
IRJET- A Study on Algorithms for FFT Computations
 
IRJET- Application of False Removal Algorithm Specially for Retinal Images wi...
IRJET- Application of False Removal Algorithm Specially for Retinal Images wi...IRJET- Application of False Removal Algorithm Specially for Retinal Images wi...
IRJET- Application of False Removal Algorithm Specially for Retinal Images wi...
 
Fpga implementation of high speed
Fpga implementation of high speedFpga implementation of high speed
Fpga implementation of high speed
 
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
FPGA IMPLEMENTATION OF HIGH SPEED BAUGH-WOOLEY MULTIPLIER USING DECOMPOSITION...
 

Similar to Design and Implementation of Test Vector Generation using Random Forest Technique

Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...ijcisjournal
 
Star Test Topology for Testing Printed Circuits Boards
Star Test Topology for Testing Printed Circuits BoardsStar Test Topology for Testing Printed Circuits Boards
Star Test Topology for Testing Printed Circuits BoardsIRJET Journal
 
IRJET- Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern ValueIRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET- Implementation of TPG-LFSR with Reseeding Pattern ValueIRJET Journal
 
IRJET - Finger Vein Extraction and Authentication System for ATM
IRJET -  	  Finger Vein Extraction and Authentication System for ATMIRJET -  	  Finger Vein Extraction and Authentication System for ATM
IRJET - Finger Vein Extraction and Authentication System for ATMIRJET Journal
 
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...IRJET Journal
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...IRJET Journal
 
IRJET- Efficient Design of Radix Booth Multiplier
IRJET- Efficient Design of Radix Booth MultiplierIRJET- Efficient Design of Radix Booth Multiplier
IRJET- Efficient Design of Radix Booth MultiplierIRJET Journal
 
Stil test pattern generation enhancement in mixed signal design
Stil test pattern generation enhancement in mixed signal designStil test pattern generation enhancement in mixed signal design
Stil test pattern generation enhancement in mixed signal designConference Papers
 
IRJET - Predicting the Maximum Computational Power of Microprocessors using M...
IRJET - Predicting the Maximum Computational Power of Microprocessors using M...IRJET - Predicting the Maximum Computational Power of Microprocessors using M...
IRJET - Predicting the Maximum Computational Power of Microprocessors using M...IRJET Journal
 
Wireless communication without pre shared secrets using spread spectrum techn...
Wireless communication without pre shared secrets using spread spectrum techn...Wireless communication without pre shared secrets using spread spectrum techn...
Wireless communication without pre shared secrets using spread spectrum techn...eSAT Journals
 
Mixed Scanning and DFT Techniques for Arithmetic Core
Mixed Scanning and DFT Techniques for Arithmetic CoreMixed Scanning and DFT Techniques for Arithmetic Core
Mixed Scanning and DFT Techniques for Arithmetic CoreIJERA Editor
 
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...VLSICS Design
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...IRJET Journal
 
E0364025031
E0364025031E0364025031
E0364025031theijes
 
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...IRJET Journal
 
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...Artificial Neural Network Based Graphical User Interface for Estimation of Fa...
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...ijsrd.com
 
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...Artificial Neural Network Based Graphical User Interface for Estimation of Fa...
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...ijsrd.com
 
IRJET - A Speculative Approximate Adder for Error Recovery Unit
IRJET - A Speculative Approximate Adder for Error Recovery UnitIRJET - A Speculative Approximate Adder for Error Recovery Unit
IRJET - A Speculative Approximate Adder for Error Recovery UnitIRJET Journal
 
IRJET- Adding Support for Vector Instructions to 8051 Architecture
IRJET- Adding Support for Vector Instructions to 8051 ArchitectureIRJET- Adding Support for Vector Instructions to 8051 Architecture
IRJET- Adding Support for Vector Instructions to 8051 ArchitectureIRJET Journal
 

Similar to Design and Implementation of Test Vector Generation using Random Forest Technique (20)

Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...Design Verification and Test Vector Minimization Using Heuristic Method of a ...
Design Verification and Test Vector Minimization Using Heuristic Method of a ...
 
Star Test Topology for Testing Printed Circuits Boards
Star Test Topology for Testing Printed Circuits BoardsStar Test Topology for Testing Printed Circuits Boards
Star Test Topology for Testing Printed Circuits Boards
 
IRJET- Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern ValueIRJET-  	  Implementation of TPG-LFSR with Reseeding Pattern Value
IRJET- Implementation of TPG-LFSR with Reseeding Pattern Value
 
IRJET - Finger Vein Extraction and Authentication System for ATM
IRJET -  	  Finger Vein Extraction and Authentication System for ATMIRJET -  	  Finger Vein Extraction and Authentication System for ATM
IRJET - Finger Vein Extraction and Authentication System for ATM
 
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
IRJET - Design of a Low Power Serial- Parallel Multiplier with Low Transition...
 
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
Advancing VLSI Design Reliability: A Comprehensive Examination of Embedded De...
 
IRJET- Efficient Design of Radix Booth Multiplier
IRJET- Efficient Design of Radix Booth MultiplierIRJET- Efficient Design of Radix Booth Multiplier
IRJET- Efficient Design of Radix Booth Multiplier
 
Stil test pattern generation enhancement in mixed signal design
Stil test pattern generation enhancement in mixed signal designStil test pattern generation enhancement in mixed signal design
Stil test pattern generation enhancement in mixed signal design
 
IRJET - Predicting the Maximum Computational Power of Microprocessors using M...
IRJET - Predicting the Maximum Computational Power of Microprocessors using M...IRJET - Predicting the Maximum Computational Power of Microprocessors using M...
IRJET - Predicting the Maximum Computational Power of Microprocessors using M...
 
Wireless communication without pre shared secrets using spread spectrum techn...
Wireless communication without pre shared secrets using spread spectrum techn...Wireless communication without pre shared secrets using spread spectrum techn...
Wireless communication without pre shared secrets using spread spectrum techn...
 
Mixed Scanning and DFT Techniques for Arithmetic Core
Mixed Scanning and DFT Techniques for Arithmetic CoreMixed Scanning and DFT Techniques for Arithmetic Core
Mixed Scanning and DFT Techniques for Arithmetic Core
 
Bt34433436
Bt34433436Bt34433436
Bt34433436
 
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...
IMPLEMENTATION OF COMPACTION ALGORITHM FOR ATPG GENERATED PARTIALLY SPECIFIED...
 
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
High Speed and Area Efficient Matrix Multiplication using Radix-4 Booth Multi...
 
E0364025031
E0364025031E0364025031
E0364025031
 
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
 
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...Artificial Neural Network Based Graphical User Interface for Estimation of Fa...
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...
 
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...Artificial Neural Network Based Graphical User Interface for Estimation of Fa...
Artificial Neural Network Based Graphical User Interface for Estimation of Fa...
 
IRJET - A Speculative Approximate Adder for Error Recovery Unit
IRJET - A Speculative Approximate Adder for Error Recovery UnitIRJET - A Speculative Approximate Adder for Error Recovery Unit
IRJET - A Speculative Approximate Adder for Error Recovery Unit
 
IRJET- Adding Support for Vector Instructions to 8051 Architecture
IRJET- Adding Support for Vector Instructions to 8051 ArchitectureIRJET- Adding Support for Vector Instructions to 8051 Architecture
IRJET- Adding Support for Vector Instructions to 8051 Architecture
 

More from IRJET Journal

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...IRJET Journal
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTUREIRJET Journal
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...IRJET Journal
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsIRJET Journal
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...IRJET Journal
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...IRJET Journal
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...IRJET Journal
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...IRJET Journal
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASIRJET Journal
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...IRJET Journal
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProIRJET Journal
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...IRJET Journal
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemIRJET Journal
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesIRJET Journal
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web applicationIRJET Journal
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...IRJET Journal
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.IRJET Journal
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...IRJET Journal
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignIRJET Journal
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...IRJET Journal
 

More from IRJET Journal (20)

TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
TUNNELING IN HIMALAYAS WITH NATM METHOD: A SPECIAL REFERENCES TO SUNGAL TUNNE...
 
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURESTUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
STUDY THE EFFECT OF RESPONSE REDUCTION FACTOR ON RC FRAMED STRUCTURE
 
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
A COMPARATIVE ANALYSIS OF RCC ELEMENT OF SLAB WITH STARK STEEL (HYSD STEEL) A...
 
Effect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil CharacteristicsEffect of Camber and Angles of Attack on Airfoil Characteristics
Effect of Camber and Angles of Attack on Airfoil Characteristics
 
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
A Review on the Progress and Challenges of Aluminum-Based Metal Matrix Compos...
 
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
Dynamic Urban Transit Optimization: A Graph Neural Network Approach for Real-...
 
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
Structural Analysis and Design of Multi-Storey Symmetric and Asymmetric Shape...
 
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
A Review of “Seismic Response of RC Structures Having Plan and Vertical Irreg...
 
A REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADASA REVIEW ON MACHINE LEARNING IN ADAS
A REVIEW ON MACHINE LEARNING IN ADAS
 
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
Long Term Trend Analysis of Precipitation and Temperature for Asosa district,...
 
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD ProP.E.B. Framed Structure Design and Analysis Using STAAD Pro
P.E.B. Framed Structure Design and Analysis Using STAAD Pro
 
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
A Review on Innovative Fiber Integration for Enhanced Reinforcement of Concre...
 
Survey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare SystemSurvey Paper on Cloud-Based Secured Healthcare System
Survey Paper on Cloud-Based Secured Healthcare System
 
Review on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridgesReview on studies and research on widening of existing concrete bridges
Review on studies and research on widening of existing concrete bridges
 
React based fullstack edtech web application
React based fullstack edtech web applicationReact based fullstack edtech web application
React based fullstack edtech web application
 
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
A Comprehensive Review of Integrating IoT and Blockchain Technologies in the ...
 
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
A REVIEW ON THE PERFORMANCE OF COCONUT FIBRE REINFORCED CONCRETE.
 
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
Optimizing Business Management Process Workflows: The Dynamic Influence of Mi...
 
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic DesignMultistoried and Multi Bay Steel Building Frame by using Seismic Design
Multistoried and Multi Bay Steel Building Frame by using Seismic Design
 
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
Cost Optimization of Construction Using Plastic Waste as a Sustainable Constr...
 

Recently uploaded

VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130Suhani Kapoor
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝soniya singh
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSSIVASHANKAR N
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxpranjaldaimarysona
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024hassan khalil
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Dr.Costas Sachpazis
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxupamatechverse
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...srsj9000
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxAsutosh Ranjan
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...ranjana rawat
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Dr.Costas Sachpazis
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingrakeshbaidya232001
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxupamatechverse
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVRajaP95
 

Recently uploaded (20)

VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
VIP Call Girls Service Kondapur Hyderabad Call +91-8250192130
 
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
Model Call Girl in Narela Delhi reach out to us at 🔝8264348440🔝
 
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(PRIYA) Rajgurunagar Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLSMANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
MANUFACTURING PROCESS-II UNIT-5 NC MACHINE TOOLS
 
Processing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptxProcessing & Properties of Floor and Wall Tiles.pptx
Processing & Properties of Floor and Wall Tiles.pptx
 
Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024Architect Hassan Khalil Portfolio for 2024
Architect Hassan Khalil Portfolio for 2024
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
Sheet Pile Wall Design and Construction: A Practical Guide for Civil Engineer...
 
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINEDJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
DJARUM4D - SLOT GACOR ONLINE | SLOT DEMO ONLINE
 
Introduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptxIntroduction to Multiple Access Protocol.pptx
Introduction to Multiple Access Protocol.pptx
 
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
Gfe Mayur Vihar Call Girls Service WhatsApp -> 9999965857 Available 24x7 ^ De...
 
Coefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptxCoefficient of Thermal Expansion and their Importance.pptx
Coefficient of Thermal Expansion and their Importance.pptx
 
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
(ANJALI) Dange Chowk Call Girls Just Call 7001035870 [ Cash on Delivery ] Pun...
 
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
Structural Analysis and Design of Foundations: A Comprehensive Handbook for S...
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
Porous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writingPorous Ceramics seminar and technical writing
Porous Ceramics seminar and technical writing
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 
Introduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptxIntroduction to IEEE STANDARDS and its different types.pptx
Introduction to IEEE STANDARDS and its different types.pptx
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IVHARMONY IN THE NATURE AND EXISTENCE - Unit-IV
HARMONY IN THE NATURE AND EXISTENCE - Unit-IV
 

Design and Implementation of Test Vector Generation using Random Forest Technique

  • 1. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 926 Design and Implementation of Test Vector Generation Using Random Forest Technique Naveen T V1, Dr. M V Latte2, Mr. Sathish Shet3, Mr. Shashidhara H R4 1M.Tech Student, VLSI Design & Embedded Systems, Dept. of ECE, JSSATE, Bengaluru, Karnataka, India 2The Principal, JSSATE, Bengaluru, Karnataka, India 3Asst. Professor, Dept. of ECE, JSSATE, Bengaluru, Karnataka, India 4 Asst. Professor, Dept. of ECE, JSSATE, Bengaluru, Karnataka, India ---------------------------------------------------------------------***--------------------------------------------------------------------- Abstract: Testing is an important part of any digital design process. For any quality products, it is important to determine the faults and to diagnose the fault in testing, so correctness and effectiveness will be the most important role in testing. Manualtesting can bedone for smaller designs,inwhichinputs can be given to the system by forcing the values and observing the output. Asthe complexityof thedesignincreasesthetesting becomes tedious and hence automation is required. ATPG (Automatic Test Pattern Generation)isanautomationmethod, which is used to find an input (or test) sequence applied to a digital circuit and enables the test equipment to compare between the correct and faulty circuit behavior caused by defects. These generated patterns are used to test integrated circuits after manufacture, and to assist for determining the reasons for failure. Usually the effectiveness of ATPG is calculated by the number of defects, or fault models which are determined and the total number of generated patterns used. Keywords: ATPG (Automatic Test Pattern Generation), DUT (Device Under Test), ATPG Algorithm. 1. INTRODUCTION ATPG stands forautomatic test pattern generation.As the namesuggests, ATPG procedureinvolves generation of input patterns that can ascertain presence or absence of fault(s) at somelocation(s) in a circuit.There are several ways of ATPG.  Fault simulation  Sensitization–propagation–justification. These techniques are based on Boolean logic manipulations and are most widely used. However, it must not be felt that only logic based schemes can be used for ATPG [3]. Here another interesting ATPG technique is that requires thermal imaging technique. Thermal imaging technique involves taking images of the silicon (of the circuit) and then drawing conclusionsbasedontemperature profile of various regions of the silicon. In case of ATPG based on imaging technique, one needs to find an input pattern that results in differenceoftemperatureata location (which is being tested) with and without fault. For example, when a net says A, has stuck-at-0 fault and primary inputs been applied such that net A gets 1, then temperature in A will be abnormally higher, due to high short circuit current. The advantage is, one need not have to worry about propagating the effect of the fault to primary outputs, as all internal points are observable in terms of temperature profile. So, sensitizationisenoughtogeneratea test pattern [6]. However, the instrumentation cost for thermal imaging is high. So, widely used ATPG algorithms are based on logic manipulations. 1.1 Types of ATPG Algorithms  Exhaustive: Testing by “exhaustive” technique implies applying all input combinations and checking for “functional” correctness. Exhaustive testing techniques are not used in ATPG because of complexity. Random: Random ATPG basically involves three steps: Generate random pattern.Determinehowmanyfaults are detected by the random pattern (fault simulation) [7].Continue the above two steps till no (or few) new faults are detected by the random pattern. 2. DESIGN The designing of the algorithm involves various techniques for the generation of test vector randomly for testing the digital circuits[1]. To test all the faults defined by the universal fault model in a combinational circuit with n PIs, we need to apply all 2n possible input vector. The exponential growth of the required number of vectors limits the practical applicability of the testing method only to circuits withless than 20 PIs. Pseudo-random techniques for automatically generating simulation vectors are widely in use. Simulation tools may userandom vectorgenerators,and randomly generate 1s and 0s, collect a vector of inputs and apply them to the design and perform thesimulation.Often,a situation arises where certain areas of code (or parts of the design) are not excited by the generated set of vectors. The basic block diagram is shown in figure 2.1. Volume: 04 Issue: 08 | Aug -2017 www.irjet.net p-ISSN: 2395-0072
  • 2. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 927 Figure 2.1: ATPG Module At the inner core, it consists of the ATPG module and the DUT/CUT block. For simple designs ATPG block can be ignored but for complex designstheyarebreadandbutterfor testing. The ATPG block takes the input from the test bench/ testing module and produces the random test vectors. These test vectors are then used for the functionality testing of DUT[8]. Fig 3.2: Block diagram for overall flow of test pattern generation 3. RESULTS AND DISCUSSIONS The Test pattern generation unit has been designed and random test vector shave been obtained. The functional verification and validation are the two types of results that will be obtained. Let us see them in detail. 3.1 Functionality Verification: VectorGeneration: Thefiguresshowtheresultsobtained for the designed structural method. As seen in the figure 3.1, the input considered is 8 for ‘n’ which generates 2n vectors 256 sequences. There can be a maximum of 2n test vectors. Since only the test vectors which are greater than the input is stored we can observe numbers of test vectors are less than maximum possible vectors The figure3.2showsthevectorsgeneratedinone-bit change. This helps the algorithm to generate the vectors in less number of clock cycles.As seenthevectorsgeneratedare random in nature. Figure 3.1: Simulation result of structural method vector generation Figure 3.2: Simulation result of structural method one bit change vector 3.2. Validation of Combinational Circuits:  Multiplexer The multiplexerorMUXisadigitalswitch,alsocalled as data selector. It is a combinational circuit with more than one input line, one output line and more than one select line. It allows the binary information from several input lines or sources and depending on the set of select lines, input line, is routed onto a single output line. The figure 3.3 shows the block diagram of a multiplexer consisting of n input lines, m selection lines and one output line. If there are m selection lines, then the number of possible inputlinesis2m.Alternativelywecansay that if the number of input lines is equal to 2m, then m selection lines are required to select one of n (consider 2m = n) inputlines. Volume: 04 Issue: 08 | Aug -2017 www.irjet.net p-ISSN: 2395-0072
  • 3. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 928 This type of multiplexer is referred to as 2n × 1 multiplexer or 2n -to-1 multiplexer. For example,ifoneofthe 4 input lines must be selected, then two select lines are required. Similarly, to select one of 8 input lines, three select lines are required. Figure 3.3: General working of MUX  8:1 Multiplexer An 8-to-1 multiplexer consists of eight data inputs D0 through D7, three input select lines S2 through S0 and a single output line Y. Depending on the select lines combinations, multiplexer decodes the inputs. The figure 3.4 shows the block diagram of an 8-to-1 multiplexer with enable input that enable or disable the multiplexer. Since the number data bits given to the MUX are eight then 3 bits (23=8) are needed to select one of the eight data bits. The figure 3.5 shows thevalidatedoutputoftheDUT 8:1 MUXlinked to the vectorgeneration code. Here theselect line is given as 010 whose decimal value is 2, hence3rd linein output MUX is validated. Any number of DUT’s can be linked to the ATPG program for validation. The figure 3.6 shows the output of the 8:1 MUX when the program has error in it, so the program can be altered to generate proper output. Figure 3.4: Block diagram of 8:1 MUX Figure 3.5: Valid 8:1 MUX output Figure 3.6: Invalid 8:1 MUX output 3.3 Validation of Sequential Circuits  D Flip Flop D flip – flops are also called as “Delay flip – flop”. They are used to store 1 – bit binary data. They are one of the widely used flip – flopsindigitalelectronics.Apartfrombeing the basic memory element in digitalsystems,Dflip–flopsare alsoconsidered as Delay lineelements andZero–OrderHold elements. D flip – flop has two inputs, a clock (CLK) input and a data (D) input and two outputs; one is main output represented by Q and the other is complement of Q represented by Q’. The symbol of a D flip –flop is shown in fig 3.7. Figure 3.7: block diagram of D Flip-Flop Volume: 04 Issue: 08 | Aug -2017 www.irjet.net p-ISSN: 2395-0072
  • 4. International Research Journal of Engineering and Technology (IRJET) e-ISSN: 2395-0056 © 2017, IRJET | Impact Factor value: 5.181 | ISO 9001:2008 Certified Journal | Page 929 Fig 3.7: Output of D FLIP FLOP design 4. CONCLUSION Testing isan important part of anydigitaldesignprocess. Testing is used in semiconductor technology to distinguish between the correct and faulty behavior. Testing and its application and has undergone tremendous development and growth. ATPG is one of the most popular techniques of testing VLSI design. This projectisdesignedfortwomethods of random test vectors generation. Verified thefunctionality of the designed ATPG module. Validated the Verilog design of 8:1 multiplexer and D Flip Flop using ModelSim. Can improve the design to locatethe actualfault. Randomization can be increased up to N states. Synthesize and Physical design can be implemented for the design. 5. REFERENCES [1] Shashidhara, H. R. "TestvectorGenerationusingdifferent logic regression method". Applied and Theoretical Computing andCommunicationTechnology(iCATccT),2016 2nd International Conference on. IEEE, 2016. [2] S Hemalatha, “Automatic Test Generation for Digital Circuits”, International Journal of Scientific & Technology Research Volume 3, Issue 2, February 2014. [3] John Sunwoo, Vishwani D. Agrawal,” A new ATPG algorithm for 21 st century”, Dept. of Electrical and Computer Engineering Auburn University [4] Raghuram S. Tupuri and Jacob A. Abraham, “A Novel Functional Test Generation Method for Processors using Commercial ATPG”, Advanced Micro devices, University of Texas at Austin [5] Tracy Larrabee, “A Framework for Evaluating Test Pattern Generation Strategies”, International Test Conference, March 1990 [6] Tracy Larrabee, “Efficient Generation of Test Pattern Using Boolean Difference”, International Test Conference, March 1990. [7] Yu Zhang, “Diagnostic Test Pattern Generation and Fault Simulation forStuck-at and Transition Faults”,Adissertation submitted to the Graduate Faculty of Auburn University. [8] Abramovici, Miron, Melvin A. Breuer, and Arthur D. Friedman. Digital systems testing and testable design. Vol. 2. New York: Computer science press, 1990. [9] ASIC Lab Manual Revision 4.0 IES132 RC132 EDI132 Developed by University Support Team Cadence Design Systems, Bangalore. [10]http://en.wikipedia.org/wiki/Automatic_test_pattern_ge neration [11] ModelSim® Tutorial, Software Volume: 04 Issue: 08 | Aug -2017 www.irjet.net p-ISSN: 2395-0072