SlideShare a Scribd company logo
Configurable FIFO with Panda FV
Configurable FIFO
• FIFO has two control signals: push and pop
• Each time push is asserted, the design
captures value present on 'in' bus and
stores it in internal memory.
• Each time pop is asserted, the design
discards the oldest value from internal
memory and drives the next (less old) value
on 'out' bus.
• Register Access Bus for FIFO Configuration
FIFO
push pop
in out
Register Access
FIFO Interface
Name Bits Dir Description
clk 1 IN Clock
rst 1 IN Active-high reset
push 1 IN Push data into a FIFO
pop 1 IN Pop data out from FIFO
empty 1 OUT FIFO is empty
full 1 OUT FIFO is full
in Width IN FIFO Data In
out Width IN FIFO Data out
cpu_req_data 8 IN Register Interface Input
cpu_rsp_data 8 OUT Register Interface Output
FIFO Registers
Name Addr Access
Type
Description
MAX_DEPTH 0 RW Capacity of the FIFO. Valid range: from 2 to depth.
Written value shall be less by one than the desired
capacity. Recent written value is returned upon the
read. Shall not be written while there are entries in
the FIFO.
RPTR 1 R Current value of a read pointer is returned upon the
read. Used for diagnostic purposes.
WPTR 2 R Current value of a write pointer is returned upon the
read. Used for diagnostic purposes
TOTAL_ENTRIES 3 R Total number of values pushed into the FIFO since
the recent reset. Used for statistic collection.
Register Interface
• Packet-Based Interface:
• Commands: IDLE, READ, WRITE, READ_REPLY,
READ_ERROR, WRITE_ACK, WRITE_ERR
• Address valid only for READ and WRITE;
otherwise reserved
• Value present only for READ_REPLY and WRITE
Command
Value
Address
73 40
Property to Verify
• For all possible configurations of FIFO_DEPTH,
FIFO operation is valid.
FIFO
push pop
in out
Register Access
Property
FIFO Testbench
• Re-used between Dynamic Simulation and Formal
Analysis with Panda FV
• Parametric, with data width and FIFO depth
FIFO
push
pop
in out
Register Access
Data
Driver
Checker
Control
Driver
Control Signals Generation
Register Interface
• Goal: program FIFO depth randomly
• Use parametric cell tbs_rnum to constantly generate
random number from 2 to 16
• Capture random data for register write access
Data Generation & Checking
Generation:
– Supply increasing numbers; choose random
increment at the beginning of test:
Data check:
– Just check for data values increase with known
increment
Generate
radnom delta
0 3 6 9 12
0 2 4 6 8
0 1 2 3 4
0 4 8 12 16
…
…
…
…
Data Generation Code
Data Checking Code
Check if output data not increases with the given
increment in the working mode (tcnt > 5):
FIFO Simulation
FIFO Formal Analysis
• Run bounded model checking, 20-25 cycles
from initial state
• Formal Analysis statically covers :
– All possible configurations
– All possible data increments
– All possible push & pop timings
As a result, thorough verification of configurable
FIFO design

More Related Content

What's hot

Lecture 06 pic programming in c
Lecture 06 pic programming in cLecture 06 pic programming in c
Lecture 06 pic programming in c
Vajira Thambawita
 
3. Addressing Modes in 8085 microprocessor.pptx
3. Addressing Modes in 8085 microprocessor.pptx3. Addressing Modes in 8085 microprocessor.pptx
3. Addressing Modes in 8085 microprocessor.pptx
ISMT College
 
Lec04
Lec04Lec04
Relay and AVR Atmel Atmega 16
Relay and AVR Atmel Atmega 16Relay and AVR Atmel Atmega 16
Relay and AVR Atmel Atmega 16
Robo India
 
Chapter 7 - Programming Techniques with Additional Instructions
Chapter 7 - Programming Techniques with Additional InstructionsChapter 7 - Programming Techniques with Additional Instructions
Chapter 7 - Programming Techniques with Additional Instructions
cmkandemir
 
Microcontroller avr
Microcontroller avrMicrocontroller avr
Microcontroller avr
Mahmoud Amr
 
Microprocessor 8086 and Microcontoller
Microprocessor 8086 and MicrocontollerMicroprocessor 8086 and Microcontoller
Microprocessor 8086 and Microcontoller
Saad Tanvir
 
Hardware interfacing basics using AVR
Hardware interfacing basics using AVRHardware interfacing basics using AVR
Hardware interfacing basics using AVR
Mohamed Abdallah
 
Flagsregistor
Flagsregistor Flagsregistor
Flagsregistor
maamir farooq
 
memory 8051
memory  8051memory  8051
memory 8051
VJ Aiswaryadevi
 
8051 microcontroller
8051 microcontroller8051 microcontroller
8051 microcontroller
Thirunavakkarasu kannusamy
 
Lec14
Lec14Lec14
flag register of 8086
flag register of 8086flag register of 8086
flag register of 8086
asrithak
 
Input Output programming in AVR microcontroller
Input  Output  programming in AVR microcontrollerInput  Output  programming in AVR microcontroller
Input Output programming in AVR microcontroller
Robo India
 
Chapter 8
Chapter 8Chapter 8
Chapter 8
deval patel
 
T imingdiagram
T imingdiagramT imingdiagram
T imingdiagram
puja00
 
Al2ed chapter15
Al2ed chapter15Al2ed chapter15
Al2ed chapter15
Abdullelah Al-Fahad
 
int 21,16,09 h
int 21,16,09 hint 21,16,09 h
int 21,16,09 h
Anju Kanjirathingal
 
Lec20 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Da...
Lec20 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Da...Lec20 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Da...
Lec20 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Da...
Hsien-Hsin Sean Lee, Ph.D.
 
block diagram of 8086
block diagram of 8086block diagram of 8086
block diagram of 8086
asrithak
 

What's hot (20)

Lecture 06 pic programming in c
Lecture 06 pic programming in cLecture 06 pic programming in c
Lecture 06 pic programming in c
 
3. Addressing Modes in 8085 microprocessor.pptx
3. Addressing Modes in 8085 microprocessor.pptx3. Addressing Modes in 8085 microprocessor.pptx
3. Addressing Modes in 8085 microprocessor.pptx
 
Lec04
Lec04Lec04
Lec04
 
Relay and AVR Atmel Atmega 16
Relay and AVR Atmel Atmega 16Relay and AVR Atmel Atmega 16
Relay and AVR Atmel Atmega 16
 
Chapter 7 - Programming Techniques with Additional Instructions
Chapter 7 - Programming Techniques with Additional InstructionsChapter 7 - Programming Techniques with Additional Instructions
Chapter 7 - Programming Techniques with Additional Instructions
 
Microcontroller avr
Microcontroller avrMicrocontroller avr
Microcontroller avr
 
Microprocessor 8086 and Microcontoller
Microprocessor 8086 and MicrocontollerMicroprocessor 8086 and Microcontoller
Microprocessor 8086 and Microcontoller
 
Hardware interfacing basics using AVR
Hardware interfacing basics using AVRHardware interfacing basics using AVR
Hardware interfacing basics using AVR
 
Flagsregistor
Flagsregistor Flagsregistor
Flagsregistor
 
memory 8051
memory  8051memory  8051
memory 8051
 
8051 microcontroller
8051 microcontroller8051 microcontroller
8051 microcontroller
 
Lec14
Lec14Lec14
Lec14
 
flag register of 8086
flag register of 8086flag register of 8086
flag register of 8086
 
Input Output programming in AVR microcontroller
Input  Output  programming in AVR microcontrollerInput  Output  programming in AVR microcontroller
Input Output programming in AVR microcontroller
 
Chapter 8
Chapter 8Chapter 8
Chapter 8
 
T imingdiagram
T imingdiagramT imingdiagram
T imingdiagram
 
Al2ed chapter15
Al2ed chapter15Al2ed chapter15
Al2ed chapter15
 
int 21,16,09 h
int 21,16,09 hint 21,16,09 h
int 21,16,09 h
 
Lec20 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Da...
Lec20 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Da...Lec20 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Da...
Lec20 Intro to Computer Engineering by Hsien-Hsin Sean Lee Georgia Tech -- Da...
 
block diagram of 8086
block diagram of 8086block diagram of 8086
block diagram of 8086
 

Viewers also liked

PRTE 640 Anejo M 1 y 2 Proyecto de Valeria
PRTE 640 Anejo M 1 y 2 Proyecto de Valeria PRTE 640 Anejo M 1 y 2 Proyecto de Valeria
PRTE 640 Anejo M 1 y 2 Proyecto de Valeria
valicot
 
Auditoria dugelis pirela - 19.887.016
Auditoria  dugelis pirela - 19.887.016Auditoria  dugelis pirela - 19.887.016
Auditoria dugelis pirela - 19.887.016
Dugelis Pirela
 
Олександр Лінивий — Multisite platform with continuous delivery process for m...
Олександр Лінивий — Multisite platform with continuous delivery process for m...Олександр Лінивий — Multisite platform with continuous delivery process for m...
Олександр Лінивий — Multisite platform with continuous delivery process for m...
LEDC 2016
 
Rock GWT UI's with Polymer Elements
Rock GWT UI's with Polymer ElementsRock GWT UI's with Polymer Elements
Rock GWT UI's with Polymer Elements
Manuel Carrasco Moñino
 
βιομηχανικη επανασταση
βιομηχανικη επαναστασηβιομηχανικη επανασταση
βιομηχανικη επανασταση
5ο Γυμνάσιο Ξάνθης
 
An Integrated Pipeline for Private and Public Clouds with Jenkins, Artifactor...
An Integrated Pipeline for Private and Public Clouds with Jenkins, Artifactor...An Integrated Pipeline for Private and Public Clouds with Jenkins, Artifactor...
An Integrated Pipeline for Private and Public Clouds with Jenkins, Artifactor...
VMware Tanzu
 
GWTcon 2015 - Beyond GWT 3.0 Panic
GWTcon 2015 - Beyond GWT 3.0 PanicGWTcon 2015 - Beyond GWT 3.0 Panic
GWTcon 2015 - Beyond GWT 3.0 Panic
Cristiano Costantini
 
Bảng câu hỏi - Nguyên cứu Maketing
Bảng câu hỏi - Nguyên cứu MaketingBảng câu hỏi - Nguyên cứu Maketing
Bảng câu hỏi - Nguyên cứu Maketing
kudos21
 
Web Components for Java Developers
Web Components for Java DevelopersWeb Components for Java Developers
Web Components for Java Developers
Joonas Lehtinen
 
Concourse updates
Concourse updatesConcourse updates
Concourse updates
Gwenn Etourneau
 
Logiciels gatuits pour la gestion des connaissances
Logiciels gatuits pour la gestion des connaissancesLogiciels gatuits pour la gestion des connaissances
Logiciels gatuits pour la gestion des connaissances
Patrice Chalon
 
AI & Deep Learning on AWS at CTO Night&Day 2016 Winter
AI & Deep Learning on AWS at CTO Night&Day 2016 WinterAI & Deep Learning on AWS at CTO Night&Day 2016 Winter
AI & Deep Learning on AWS at CTO Night&Day 2016 Winter
Yasuhiro Matsuo
 
From Zero to Hero with REST and OAuth2 #jjug
From Zero to Hero with REST and OAuth2 #jjugFrom Zero to Hero with REST and OAuth2 #jjug
From Zero to Hero with REST and OAuth2 #jjug
Toshiaki Maki
 
Jsug2015 summer spring適用におけるバッドノウハウとベタープラクティス
Jsug2015 summer spring適用におけるバッドノウハウとベタープラクティスJsug2015 summer spring適用におけるバッドノウハウとベタープラクティス
Jsug2015 summer spring適用におけるバッドノウハウとベタープラクティス
Yoichi KIKUCHI
 
Antifragile Clojure
Antifragile ClojureAntifragile Clojure
Antifragile Clojure
Yoshitaka Kawashima
 

Viewers also liked (17)

PRTE 640 Anejo M 1 y 2 Proyecto de Valeria
PRTE 640 Anejo M 1 y 2 Proyecto de Valeria PRTE 640 Anejo M 1 y 2 Proyecto de Valeria
PRTE 640 Anejo M 1 y 2 Proyecto de Valeria
 
Auditoria dugelis pirela - 19.887.016
Auditoria  dugelis pirela - 19.887.016Auditoria  dugelis pirela - 19.887.016
Auditoria dugelis pirela - 19.887.016
 
Олександр Лінивий — Multisite platform with continuous delivery process for m...
Олександр Лінивий — Multisite platform with continuous delivery process for m...Олександр Лінивий — Multisite platform with continuous delivery process for m...
Олександр Лінивий — Multisite platform with continuous delivery process for m...
 
porno1
porno1porno1
porno1
 
Rock GWT UI's with Polymer Elements
Rock GWT UI's with Polymer ElementsRock GWT UI's with Polymer Elements
Rock GWT UI's with Polymer Elements
 
βιομηχανικη επανασταση
βιομηχανικη επαναστασηβιομηχανικη επανασταση
βιομηχανικη επανασταση
 
An Integrated Pipeline for Private and Public Clouds with Jenkins, Artifactor...
An Integrated Pipeline for Private and Public Clouds with Jenkins, Artifactor...An Integrated Pipeline for Private and Public Clouds with Jenkins, Artifactor...
An Integrated Pipeline for Private and Public Clouds with Jenkins, Artifactor...
 
GWTcon 2015 - Beyond GWT 3.0 Panic
GWTcon 2015 - Beyond GWT 3.0 PanicGWTcon 2015 - Beyond GWT 3.0 Panic
GWTcon 2015 - Beyond GWT 3.0 Panic
 
Bảng câu hỏi - Nguyên cứu Maketing
Bảng câu hỏi - Nguyên cứu MaketingBảng câu hỏi - Nguyên cứu Maketing
Bảng câu hỏi - Nguyên cứu Maketing
 
Web Components for Java Developers
Web Components for Java DevelopersWeb Components for Java Developers
Web Components for Java Developers
 
Concourse updates
Concourse updatesConcourse updates
Concourse updates
 
Logiciels gatuits pour la gestion des connaissances
Logiciels gatuits pour la gestion des connaissancesLogiciels gatuits pour la gestion des connaissances
Logiciels gatuits pour la gestion des connaissances
 
AI & Deep Learning on AWS at CTO Night&Day 2016 Winter
AI & Deep Learning on AWS at CTO Night&Day 2016 WinterAI & Deep Learning on AWS at CTO Night&Day 2016 Winter
AI & Deep Learning on AWS at CTO Night&Day 2016 Winter
 
From Zero to Hero with REST and OAuth2 #jjug
From Zero to Hero with REST and OAuth2 #jjugFrom Zero to Hero with REST and OAuth2 #jjug
From Zero to Hero with REST and OAuth2 #jjug
 
Jsug2015 summer spring適用におけるバッドノウハウとベタープラクティス
Jsug2015 summer spring適用におけるバッドノウハウとベタープラクティスJsug2015 summer spring適用におけるバッドノウハウとベタープラクティス
Jsug2015 summer spring適用におけるバッドノウハウとベタープラクティス
 
Antifragile Clojure
Antifragile ClojureAntifragile Clojure
Antifragile Clojure
 
Treball 11111
Treball 11111Treball 11111
Treball 11111
 

Similar to Configurable fifo with panda fv

SOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC ToolsSOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC Tools
A B Shinde
 
IoT Physical Devices and End Points.pdf
IoT Physical Devices and End Points.pdfIoT Physical Devices and End Points.pdf
IoT Physical Devices and End Points.pdf
GVNSK Sravya
 
Lecture 03 basics of pic
Lecture 03 basics of picLecture 03 basics of pic
Lecture 03 basics of pic
Vajira Thambawita
 
Architecture of pentium family
Architecture of pentium familyArchitecture of pentium family
Architecture of pentium family
University of Gujrat, Pakistan
 
What's going on with SPI
What's going on with SPI What's going on with SPI
What's going on with SPI
Mark Brown
 
Rina p4 rina workshop
Rina p4   rina workshopRina p4   rina workshop
Rina p4 rina workshop
Eduard Grasa
 
Pentium processor
Pentium processorPentium processor
Pentium processor
Pranjali Deshmukh
 
Port Interfacing
Port InterfacingPort Interfacing
Port Interfacing
AKANSHA GURELE
 
Unit2.2 8051
Unit2.2 8051Unit2.2 8051
Unit2.2 8051
sjajsj
 
Arduino Platform with C programming.
Arduino Platform with C programming.Arduino Platform with C programming.
Arduino Platform with C programming.
Govind Jha
 
Avr report
Avr reportAvr report
Avr report
NITISH KUMAR
 
2016 NCTU P4 Workshop
2016 NCTU P4 Workshop2016 NCTU P4 Workshop
2016 NCTU P4 Workshop
Yi Tseng
 
UNIT 1.pptx
UNIT 1.pptxUNIT 1.pptx
UNIT 1.pptx
BASKARS53
 
THE PROCESSOR
THE PROCESSORTHE PROCESSOR
THE PROCESSOR
Jai Sudhan
 
Amp
AmpAmp
Designing of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDLDesigning of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDL
Jay Baxi
 
XPDDS17: 5 Level Paging Support in Xen - Yu Zhang, Intel
XPDDS17: 5 Level Paging Support in Xen - Yu Zhang, IntelXPDDS17: 5 Level Paging Support in Xen - Yu Zhang, Intel
XPDDS17: 5 Level Paging Support in Xen - Yu Zhang, Intel
The Linux Foundation
 
UNIT 1.pptx
UNIT 1.pptxUNIT 1.pptx
UNIT 1.pptx
srinath594128
 
Introduction to pic microcontroller
Introduction to pic microcontrollerIntroduction to pic microcontroller
Introduction to pic microcontroller
Siva Kumar
 
Day 17 nat and pat
Day 17 nat and patDay 17 nat and pat
Day 17 nat and pat
CYBERINTELLIGENTS
 

Similar to Configurable fifo with panda fv (20)

SOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC ToolsSOC Peripheral Components & SOC Tools
SOC Peripheral Components & SOC Tools
 
IoT Physical Devices and End Points.pdf
IoT Physical Devices and End Points.pdfIoT Physical Devices and End Points.pdf
IoT Physical Devices and End Points.pdf
 
Lecture 03 basics of pic
Lecture 03 basics of picLecture 03 basics of pic
Lecture 03 basics of pic
 
Architecture of pentium family
Architecture of pentium familyArchitecture of pentium family
Architecture of pentium family
 
What's going on with SPI
What's going on with SPI What's going on with SPI
What's going on with SPI
 
Rina p4 rina workshop
Rina p4   rina workshopRina p4   rina workshop
Rina p4 rina workshop
 
Pentium processor
Pentium processorPentium processor
Pentium processor
 
Port Interfacing
Port InterfacingPort Interfacing
Port Interfacing
 
Unit2.2 8051
Unit2.2 8051Unit2.2 8051
Unit2.2 8051
 
Arduino Platform with C programming.
Arduino Platform with C programming.Arduino Platform with C programming.
Arduino Platform with C programming.
 
Avr report
Avr reportAvr report
Avr report
 
2016 NCTU P4 Workshop
2016 NCTU P4 Workshop2016 NCTU P4 Workshop
2016 NCTU P4 Workshop
 
UNIT 1.pptx
UNIT 1.pptxUNIT 1.pptx
UNIT 1.pptx
 
THE PROCESSOR
THE PROCESSORTHE PROCESSOR
THE PROCESSOR
 
Amp
AmpAmp
Amp
 
Designing of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDLDesigning of fifo and serial peripheral interface protocol using Verilog HDL
Designing of fifo and serial peripheral interface protocol using Verilog HDL
 
XPDDS17: 5 Level Paging Support in Xen - Yu Zhang, Intel
XPDDS17: 5 Level Paging Support in Xen - Yu Zhang, IntelXPDDS17: 5 Level Paging Support in Xen - Yu Zhang, Intel
XPDDS17: 5 Level Paging Support in Xen - Yu Zhang, Intel
 
UNIT 1.pptx
UNIT 1.pptxUNIT 1.pptx
UNIT 1.pptx
 
Introduction to pic microcontroller
Introduction to pic microcontrollerIntroduction to pic microcontroller
Introduction to pic microcontroller
 
Day 17 nat and pat
Day 17 nat and patDay 17 nat and pat
Day 17 nat and pat
 

Recently uploaded

一比一原版马来西亚世纪大学毕业证成绩单一模一样
一比一原版马来西亚世纪大学毕业证成绩单一模一样一比一原版马来西亚世纪大学毕业证成绩单一模一样
一比一原版马来西亚世纪大学毕业证成绩单一模一样
k4krdgxx
 
ARENA - Young adults in the workplace (Knight Moves).pdf
ARENA - Young adults in the workplace (Knight Moves).pdfARENA - Young adults in the workplace (Knight Moves).pdf
ARENA - Young adults in the workplace (Knight Moves).pdf
Knight Moves
 
Heuristics Evaluation - How to Guide.pdf
Heuristics Evaluation - How to Guide.pdfHeuristics Evaluation - How to Guide.pdf
Heuristics Evaluation - How to Guide.pdf
Jaime Brown
 
Getting Data Ready for Culture Hack by Neontribe
Getting Data Ready for Culture Hack by NeontribeGetting Data Ready for Culture Hack by Neontribe
Getting Data Ready for Culture Hack by Neontribe
Harry Harrold
 
LGBTQIA Pride Month presentation Template
LGBTQIA Pride Month presentation TemplateLGBTQIA Pride Month presentation Template
LGBTQIA Pride Month presentation Template
DakshGudwani
 
Divertidamente SLIDE.pptxufururururuhrurid8dj
Divertidamente SLIDE.pptxufururururuhrurid8djDivertidamente SLIDE.pptxufururururuhrurid8dj
Divertidamente SLIDE.pptxufururururuhrurid8dj
lunaemel03
 
Discovering the Best Indian Architects A Spotlight on Design Forum Internatio...
Discovering the Best Indian Architects A Spotlight on Design Forum Internatio...Discovering the Best Indian Architects A Spotlight on Design Forum Internatio...
Discovering the Best Indian Architects A Spotlight on Design Forum Internatio...
Designforuminternational
 
一比一原版布兰登大学毕业证(BU毕业证书)如何办理
一比一原版布兰登大学毕业证(BU毕业证书)如何办理一比一原版布兰登大学毕业证(BU毕业证书)如何办理
一比一原版布兰登大学毕业证(BU毕业证书)如何办理
wkip62b
 
一比一原版阿肯色大学毕业证(UCSF毕业证书)如何办理
一比一原版阿肯色大学毕业证(UCSF毕业证书)如何办理一比一原版阿肯色大学毕业证(UCSF毕业证书)如何办理
一比一原版阿肯色大学毕业证(UCSF毕业证书)如何办理
bo44ban1
 
一比一原版美国哥伦比亚大学毕业证Columbia成绩单一模一样
一比一原版美国哥伦比亚大学毕业证Columbia成绩单一模一样一比一原版美国哥伦比亚大学毕业证Columbia成绩单一模一样
一比一原版美国哥伦比亚大学毕业证Columbia成绩单一模一样
881evgn0
 
Graphic Design Tools and Software .pptx
Graphic Design Tools and Software   .pptxGraphic Design Tools and Software   .pptx
Graphic Design Tools and Software .pptx
Virtual Real Design
 
一比一原版亚利桑那大学毕业证(UA毕业证书)如何办理
一比一原版亚利桑那大学毕业证(UA毕业证书)如何办理一比一原版亚利桑那大学毕业证(UA毕业证书)如何办理
一比一原版亚利桑那大学毕业证(UA毕业证书)如何办理
21uul8se
 
一比一原版肯特大学毕业证UKC成绩单一模一样
一比一原版肯特大学毕业证UKC成绩单一模一样一比一原版肯特大学毕业证UKC成绩单一模一样
一比一原版肯特大学毕业证UKC成绩单一模一样
tobbk6s8
 
哪里办理美国中央华盛顿大学毕业证双学位证书原版一模一样
哪里办理美国中央华盛顿大学毕业证双学位证书原版一模一样哪里办理美国中央华盛顿大学毕业证双学位证书原版一模一样
哪里办理美国中央华盛顿大学毕业证双学位证书原版一模一样
qo1as76n
 
NHL Stenden University of Applied Sciences Diploma Degree Transcript
NHL Stenden University of Applied Sciences Diploma Degree TranscriptNHL Stenden University of Applied Sciences Diploma Degree Transcript
NHL Stenden University of Applied Sciences Diploma Degree Transcript
lhtvqoag
 
CocaCola_Brand_equity_package_2012__.pdf
CocaCola_Brand_equity_package_2012__.pdfCocaCola_Brand_equity_package_2012__.pdf
CocaCola_Brand_equity_package_2012__.pdf
PabloMartelLpez
 
一比一原版南安普顿索伦特大学毕业证Southampton成绩单一模一样
一比一原版南安普顿索伦特大学毕业证Southampton成绩单一模一样一比一原版南安普顿索伦特大学毕业证Southampton成绩单一模一样
一比一原版南安普顿索伦特大学毕业证Southampton成绩单一模一样
3vgr39kx
 
NHR Engineers Portfolio 2023 2024 NISHANT RATHI
NHR Engineers Portfolio 2023 2024 NISHANT RATHINHR Engineers Portfolio 2023 2024 NISHANT RATHI
NHR Engineers Portfolio 2023 2024 NISHANT RATHI
NishantRathi18
 
定制美国西雅图城市大学毕业证学历证书原版一模一样
定制美国西雅图城市大学毕业证学历证书原版一模一样定制美国西雅图城市大学毕业证学历证书原版一模一样
定制美国西雅图城市大学毕业证学历证书原版一模一样
qo1as76n
 
一比一原版(Vancouver毕业证书)温哥华岛大学毕业证如何办理
一比一原版(Vancouver毕业证书)温哥华岛大学毕业证如何办理一比一原版(Vancouver毕业证书)温哥华岛大学毕业证如何办理
一比一原版(Vancouver毕业证书)温哥华岛大学毕业证如何办理
ijk38lw
 

Recently uploaded (20)

一比一原版马来西亚世纪大学毕业证成绩单一模一样
一比一原版马来西亚世纪大学毕业证成绩单一模一样一比一原版马来西亚世纪大学毕业证成绩单一模一样
一比一原版马来西亚世纪大学毕业证成绩单一模一样
 
ARENA - Young adults in the workplace (Knight Moves).pdf
ARENA - Young adults in the workplace (Knight Moves).pdfARENA - Young adults in the workplace (Knight Moves).pdf
ARENA - Young adults in the workplace (Knight Moves).pdf
 
Heuristics Evaluation - How to Guide.pdf
Heuristics Evaluation - How to Guide.pdfHeuristics Evaluation - How to Guide.pdf
Heuristics Evaluation - How to Guide.pdf
 
Getting Data Ready for Culture Hack by Neontribe
Getting Data Ready for Culture Hack by NeontribeGetting Data Ready for Culture Hack by Neontribe
Getting Data Ready for Culture Hack by Neontribe
 
LGBTQIA Pride Month presentation Template
LGBTQIA Pride Month presentation TemplateLGBTQIA Pride Month presentation Template
LGBTQIA Pride Month presentation Template
 
Divertidamente SLIDE.pptxufururururuhrurid8dj
Divertidamente SLIDE.pptxufururururuhrurid8djDivertidamente SLIDE.pptxufururururuhrurid8dj
Divertidamente SLIDE.pptxufururururuhrurid8dj
 
Discovering the Best Indian Architects A Spotlight on Design Forum Internatio...
Discovering the Best Indian Architects A Spotlight on Design Forum Internatio...Discovering the Best Indian Architects A Spotlight on Design Forum Internatio...
Discovering the Best Indian Architects A Spotlight on Design Forum Internatio...
 
一比一原版布兰登大学毕业证(BU毕业证书)如何办理
一比一原版布兰登大学毕业证(BU毕业证书)如何办理一比一原版布兰登大学毕业证(BU毕业证书)如何办理
一比一原版布兰登大学毕业证(BU毕业证书)如何办理
 
一比一原版阿肯色大学毕业证(UCSF毕业证书)如何办理
一比一原版阿肯色大学毕业证(UCSF毕业证书)如何办理一比一原版阿肯色大学毕业证(UCSF毕业证书)如何办理
一比一原版阿肯色大学毕业证(UCSF毕业证书)如何办理
 
一比一原版美国哥伦比亚大学毕业证Columbia成绩单一模一样
一比一原版美国哥伦比亚大学毕业证Columbia成绩单一模一样一比一原版美国哥伦比亚大学毕业证Columbia成绩单一模一样
一比一原版美国哥伦比亚大学毕业证Columbia成绩单一模一样
 
Graphic Design Tools and Software .pptx
Graphic Design Tools and Software   .pptxGraphic Design Tools and Software   .pptx
Graphic Design Tools and Software .pptx
 
一比一原版亚利桑那大学毕业证(UA毕业证书)如何办理
一比一原版亚利桑那大学毕业证(UA毕业证书)如何办理一比一原版亚利桑那大学毕业证(UA毕业证书)如何办理
一比一原版亚利桑那大学毕业证(UA毕业证书)如何办理
 
一比一原版肯特大学毕业证UKC成绩单一模一样
一比一原版肯特大学毕业证UKC成绩单一模一样一比一原版肯特大学毕业证UKC成绩单一模一样
一比一原版肯特大学毕业证UKC成绩单一模一样
 
哪里办理美国中央华盛顿大学毕业证双学位证书原版一模一样
哪里办理美国中央华盛顿大学毕业证双学位证书原版一模一样哪里办理美国中央华盛顿大学毕业证双学位证书原版一模一样
哪里办理美国中央华盛顿大学毕业证双学位证书原版一模一样
 
NHL Stenden University of Applied Sciences Diploma Degree Transcript
NHL Stenden University of Applied Sciences Diploma Degree TranscriptNHL Stenden University of Applied Sciences Diploma Degree Transcript
NHL Stenden University of Applied Sciences Diploma Degree Transcript
 
CocaCola_Brand_equity_package_2012__.pdf
CocaCola_Brand_equity_package_2012__.pdfCocaCola_Brand_equity_package_2012__.pdf
CocaCola_Brand_equity_package_2012__.pdf
 
一比一原版南安普顿索伦特大学毕业证Southampton成绩单一模一样
一比一原版南安普顿索伦特大学毕业证Southampton成绩单一模一样一比一原版南安普顿索伦特大学毕业证Southampton成绩单一模一样
一比一原版南安普顿索伦特大学毕业证Southampton成绩单一模一样
 
NHR Engineers Portfolio 2023 2024 NISHANT RATHI
NHR Engineers Portfolio 2023 2024 NISHANT RATHINHR Engineers Portfolio 2023 2024 NISHANT RATHI
NHR Engineers Portfolio 2023 2024 NISHANT RATHI
 
定制美国西雅图城市大学毕业证学历证书原版一模一样
定制美国西雅图城市大学毕业证学历证书原版一模一样定制美国西雅图城市大学毕业证学历证书原版一模一样
定制美国西雅图城市大学毕业证学历证书原版一模一样
 
一比一原版(Vancouver毕业证书)温哥华岛大学毕业证如何办理
一比一原版(Vancouver毕业证书)温哥华岛大学毕业证如何办理一比一原版(Vancouver毕业证书)温哥华岛大学毕业证如何办理
一比一原版(Vancouver毕业证书)温哥华岛大学毕业证如何办理
 

Configurable fifo with panda fv

  • 2. Configurable FIFO • FIFO has two control signals: push and pop • Each time push is asserted, the design captures value present on 'in' bus and stores it in internal memory. • Each time pop is asserted, the design discards the oldest value from internal memory and drives the next (less old) value on 'out' bus. • Register Access Bus for FIFO Configuration FIFO push pop in out Register Access
  • 3. FIFO Interface Name Bits Dir Description clk 1 IN Clock rst 1 IN Active-high reset push 1 IN Push data into a FIFO pop 1 IN Pop data out from FIFO empty 1 OUT FIFO is empty full 1 OUT FIFO is full in Width IN FIFO Data In out Width IN FIFO Data out cpu_req_data 8 IN Register Interface Input cpu_rsp_data 8 OUT Register Interface Output
  • 4. FIFO Registers Name Addr Access Type Description MAX_DEPTH 0 RW Capacity of the FIFO. Valid range: from 2 to depth. Written value shall be less by one than the desired capacity. Recent written value is returned upon the read. Shall not be written while there are entries in the FIFO. RPTR 1 R Current value of a read pointer is returned upon the read. Used for diagnostic purposes. WPTR 2 R Current value of a write pointer is returned upon the read. Used for diagnostic purposes TOTAL_ENTRIES 3 R Total number of values pushed into the FIFO since the recent reset. Used for statistic collection.
  • 5. Register Interface • Packet-Based Interface: • Commands: IDLE, READ, WRITE, READ_REPLY, READ_ERROR, WRITE_ACK, WRITE_ERR • Address valid only for READ and WRITE; otherwise reserved • Value present only for READ_REPLY and WRITE Command Value Address 73 40
  • 6. Property to Verify • For all possible configurations of FIFO_DEPTH, FIFO operation is valid. FIFO push pop in out Register Access Property
  • 7. FIFO Testbench • Re-used between Dynamic Simulation and Formal Analysis with Panda FV • Parametric, with data width and FIFO depth FIFO push pop in out Register Access Data Driver Checker Control Driver
  • 9. Register Interface • Goal: program FIFO depth randomly • Use parametric cell tbs_rnum to constantly generate random number from 2 to 16 • Capture random data for register write access
  • 10. Data Generation & Checking Generation: – Supply increasing numbers; choose random increment at the beginning of test: Data check: – Just check for data values increase with known increment Generate radnom delta 0 3 6 9 12 0 2 4 6 8 0 1 2 3 4 0 4 8 12 16 … … … …
  • 12. Data Checking Code Check if output data not increases with the given increment in the working mode (tcnt > 5):
  • 14. FIFO Formal Analysis • Run bounded model checking, 20-25 cycles from initial state • Formal Analysis statically covers : – All possible configurations – All possible data increments – All possible push & pop timings As a result, thorough verification of configurable FIFO design