Sense amplifiers are extensively used in memory. Sense amplifiers are one of the most vital circuits in the periphery of CMOS memories. We know that memory is the heart of all digital systems. Today all worlds are demanding high speed and low power dissipation as well as small area. We know that speed and power dissipation of memory is overall depends upon the sense amplifier we used and their performance strongly affects both memory access time, and overall memory power dissipation. So it is important to design a good sense amplifier which performs well in both speed and power dissipation. In this dissertation, an implementation of a most efficient sense amplifier is done by comparing the best known sense amplifier in today. The dissertation focuses on design, simulation and performance analysis of sense amplifiers. In this thesis, current latch sense amplifier and body bias controlled current latch sense amplifier are designed and results compared. The result shows that the body bias controlled current latch sense amplifier is performing best. The result also shows a novel sense amplifier which consumes small power at same time its speed is faster than other sense amplifiers.
This lecture discusses advanced SRAM technologies including FinFET-based SRAM issues and alternatives. It begins with fundamentals of 6T SRAM design and reviews state-of-the-art SRAM performance. Key challenges for FinFET-based SRAM include variability impacts and design tradeoffs. The lecture explores techniques to improve SRAM stability for FinFETs and reviews Intel's 22nm tri-gate SRAM technology. Finally, it discusses SRAM alternatives such as 8T cells, SDRAM, and context memory to address scaling challenges.
This document analyzes the static noise margin and power dissipation of a proposed low voltage swing 8T SRAM cell. It presents the proposed SRAM cell, its operation, and compares its static noise margin and power dissipation to conventional 6T and 11T SRAM cells under varying conditions of cell ratio, pull-up ratio, temperature, supply voltage, and bit line capacitance. The analysis shows that the proposed 8T SRAM cell has better read and write stability and reduced power dissipation due to its lower voltage swing compared to conventional SRAM cells. Future work could focus on improving its hold stability and reducing leakage.
High performance low leakage power full subtractor circuit design using rate ...eSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology.
Implementation of latch type sense amplifiereSAT Journals
This document describes and compares different types of latch sense amplifiers that are used in DRAM and SRAM memories. It discusses NMOS, PMOS, and combined NMOS/PMOS sense amplifiers. It then focuses on describing alpha latch, current conveyor, and current mode latch sense amplifiers in more detail. Simulation results are presented to show the read operations and sensing delays of the different latch sense amplifiers. The current mode sense amplifier is shown to have the lowest sensing and latching delays.
Track e low voltage sram - adam teman bguchiportal
This document discusses challenges in designing low voltage SRAM and existing solutions. Standard 6T SRAM cells experience issues at voltages under 700-800mV due to loss of read and write margins from mismatch. Existing solutions to improve read margins include differential readout and write assist techniques like word line boosting. However, write margins still limit operation to around 700mV. The document introduces work by the Low Power Circuits and Systems Lab to modify the internal SRAM cell structure, such as a quasi-static RAM cell that floats internal nodes to drastically reduce leakage. This and other innovative solutions are being examined and tested.
Design and Simulation Low power SRAM Circuitsijsrd.com
SRAMs), focusing on optimizing delay and power. As the scaling trends in the speed and power of SRAMs with size and technology and find that the SRAM delay scales as the logarithm of its size as long as the interconnect delay is negligible. Non-scaling of threshold mismatches with process scaling, causes the signal swings in the bitlines and data lines also not to scale, leading to an increase in the relative delay of an SRAM, across technology generations. Appropriate methods for reduction of power consumption were studied such as capacitance reduction, very low operating voltages, DC and AC current reduction and suppression of leakage currents to name a few.. Many of reviewed techniques are applicable to other applications such as ASICs, DSPs, etc. Battery and solar-cell operation requires an operating voltage environment in low voltage area. These conditions demand new design approaches and more sophisticated concepts to retain high device reliability. The proposed techniques (USRS and LPRS) are topology based and hence easier to implement.
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...Grace Abraham
The document describes a 128 Kbit SRAM with an embedded energy monitoring circuit. It uses an 8T bit cell to enable low voltage operation down to 0.37V. It employs a two-stage sensing scheme using a body-biased sense amplifier to compensate for offsets. An energy monitoring circuit is integrated to measure the absolute energy consumption of the SRAM. It functions down to low voltages while achieving improved read performance and accurate energy measurement.
This document describes the design and analysis of a 10T SRAM cell for high-speed operation at 45nm technology. The 10T cell aims to improve read stability and speed by using a separate read enable line to isolate the memory element from bit lines and access transistors during read operations. Simulation results show the 10T cell has a 54% faster read delay, 13% lower write delay, 36% lower power consumption, and improved read stability compared to a conventional 6T SRAM cell.
This lecture discusses advanced SRAM technologies including FinFET-based SRAM issues and alternatives. It begins with fundamentals of 6T SRAM design and reviews state-of-the-art SRAM performance. Key challenges for FinFET-based SRAM include variability impacts and design tradeoffs. The lecture explores techniques to improve SRAM stability for FinFETs and reviews Intel's 22nm tri-gate SRAM technology. Finally, it discusses SRAM alternatives such as 8T cells, SDRAM, and context memory to address scaling challenges.
This document analyzes the static noise margin and power dissipation of a proposed low voltage swing 8T SRAM cell. It presents the proposed SRAM cell, its operation, and compares its static noise margin and power dissipation to conventional 6T and 11T SRAM cells under varying conditions of cell ratio, pull-up ratio, temperature, supply voltage, and bit line capacitance. The analysis shows that the proposed 8T SRAM cell has better read and write stability and reduced power dissipation due to its lower voltage swing compared to conventional SRAM cells. Future work could focus on improving its hold stability and reducing leakage.
High performance low leakage power full subtractor circuit design using rate ...eSAT Publishing House
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology.
Implementation of latch type sense amplifiereSAT Journals
This document describes and compares different types of latch sense amplifiers that are used in DRAM and SRAM memories. It discusses NMOS, PMOS, and combined NMOS/PMOS sense amplifiers. It then focuses on describing alpha latch, current conveyor, and current mode latch sense amplifiers in more detail. Simulation results are presented to show the read operations and sensing delays of the different latch sense amplifiers. The current mode sense amplifier is shown to have the lowest sensing and latching delays.
Track e low voltage sram - adam teman bguchiportal
This document discusses challenges in designing low voltage SRAM and existing solutions. Standard 6T SRAM cells experience issues at voltages under 700-800mV due to loss of read and write margins from mismatch. Existing solutions to improve read margins include differential readout and write assist techniques like word line boosting. However, write margins still limit operation to around 700mV. The document introduces work by the Low Power Circuits and Systems Lab to modify the internal SRAM cell structure, such as a quasi-static RAM cell that floats internal nodes to drastically reduce leakage. This and other innovative solutions are being examined and tested.
Design and Simulation Low power SRAM Circuitsijsrd.com
SRAMs), focusing on optimizing delay and power. As the scaling trends in the speed and power of SRAMs with size and technology and find that the SRAM delay scales as the logarithm of its size as long as the interconnect delay is negligible. Non-scaling of threshold mismatches with process scaling, causes the signal swings in the bitlines and data lines also not to scale, leading to an increase in the relative delay of an SRAM, across technology generations. Appropriate methods for reduction of power consumption were studied such as capacitance reduction, very low operating voltages, DC and AC current reduction and suppression of leakage currents to name a few.. Many of reviewed techniques are applicable to other applications such as ASICs, DSPs, etc. Battery and solar-cell operation requires an operating voltage environment in low voltage area. These conditions demand new design approaches and more sophisticated concepts to retain high device reliability. The proposed techniques (USRS and LPRS) are topology based and hence easier to implement.
A 128 kbit sram with an embedded energy monitoring circuit and sense amplifie...Grace Abraham
The document describes a 128 Kbit SRAM with an embedded energy monitoring circuit. It uses an 8T bit cell to enable low voltage operation down to 0.37V. It employs a two-stage sensing scheme using a body-biased sense amplifier to compensate for offsets. An energy monitoring circuit is integrated to measure the absolute energy consumption of the SRAM. It functions down to low voltages while achieving improved read performance and accurate energy measurement.
This document describes the design and analysis of a 10T SRAM cell for high-speed operation at 45nm technology. The 10T cell aims to improve read stability and speed by using a separate read enable line to isolate the memory element from bit lines and access transistors during read operations. Simulation results show the 10T cell has a 54% faster read delay, 13% lower write delay, 36% lower power consumption, and improved read stability compared to a conventional 6T SRAM cell.
Memristor is a new electronic component that can serve as both memory and a logic device. It is made of a thin semiconductor film sandwiched between two metal contacts. The resistance of the memristor can be varied by controlling the direction and duration of the electric current passed through it, allowing it to store analog values. Memristors have potential advantages over other memory technologies as they are faster, smaller, cheaper and use less power while providing non-volatile memory. They could replace flash drives and RAM in future computers and enable new applications in areas like medical monitoring and electronic paper.
In the project#1, IBM 130nm process is used to design and manual layout a 128 word SRAM, with word size 10bits. Cadence's Virtuoso is applied for layout editing, DRC and LVS running and circuit simulation.
This document discusses interfacing an RGB LED with a Raspberry Pi using pulse width modulation (PWM). It provides an overview of RGB LEDs and PWM, describing how PWM works by rapidly switching power on and off faster than what affects the load. It then gives examples of code in Python to control an RGB LED connected to GPIO pins on the Raspberry Pi by changing the duty cycle from 1-100% to gradually change the color.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
As modern technology is spreading fast, it is very
important to design low power, high performance, fast
responding SRAM(Static Random Access Memory) since they
are critical component in high performance processors. In
this paper we discuss about the noise effect of different SRAM
circuits during read operation which hinders the stability of
the SRAM cell. This paper also represents a modified 6T
SRAM cell which increases the cell stability without
increasing transistor count.
Review on Railway crack detection by LED-LDR assemblyIRJET Journal
This document proposes a railway crack detection system using an LED-LDR assembly. It summarizes that most commercial transport in India relies on railways, so crack detection is important. The proposed system uses an LED-LDR assembly to detect cracks by measuring changes in light intensity caused by cracks. If a crack is detected, the location is sent via GPS and GSM. The system is designed to be low-cost and use simple components like Arduino, LEDs, LDRs, GPS and GSM modules. It is intended to automatically inspect railway tracks for cracks.
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
A lot of consideration has been given to problems arising due to power dissipation.
Different ideas have been proposed by many researchers from the device level to the
architectural level and above. However, there is no universal way to avoid tradeoffs between
the power, delay and area. This is why; the designers are required to choose appropriate
techniques that satisfy application and product needs. Another important component of
power which contributes to power dissipation is Dynamic Power. This power is increasing
due to prolonged use of the electronic equipments. This is due to the fact that now-a-days
people are working on electronic systems from morning till night; it may be a mobile phone
or a laptop or any other equipment. This paper deals with the estimation of two components
of power i.e. static power (when device is in the standby mode) and the average power
(average amount of energy consumed with respect to time) of a 6T and 7T SRAM (Static
Random Access Memory) bit-cell at 180nm, 90nm, and 45nm CMOS Technology. This is
done in order to estimate the power required for a high speed operation of 6T and 7T
SRAM bit-cell.
Novel low power half subtractor using avl technique based on 0.18µm cmos tech...IJARIIT
Now a day’s arithmetic circuit plays an important role in designing of any VLSI system. Such as subtractor is one of
them. In this paper, half-subtractor is designed by using the adaptive voltage technique (AVL). By using the AVL technique,
half subtractor can reduce the power and delay element. We can reduce the value of total power dissipation by applying the
AVLG (adaptive voltage level at ground) technology in which the ground potential is raised and AVLS (adaptive voltage level
at supply) in which the supply potential is increased. The AVL technique shows the significant reduction in power
consumption and propagation delay. The circuit is simulated on cadence tool in 180 nanometre CMOS technology.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
APPLIANCE CONTROL USING INTELLIGENT SWITCHAmresh Nayak
Intelligent switch is capacitive touch sensor which senses the touch. This
type of switches takes body capacitance as a input in order to turn ON or OFF the devices which is connected to it and also the regulation of it. The devices controlled are bulb, fan, motor and other electronic appliances. This touch switches are needed in order to overcome the disadvantages of mechanical switches like wear and tear, limited operations, sparks etc. The capacitive touch sense pad which consists of one or more sense buttons. When the person touches the touch pad it takes the body capacitance and that analog signal is converted to digital signal in order to make compatible for the MSP430G2553 microcontroller to operate. This microcontroller contains pin oscillator and PWM which is used to turn ON or OFF and regulation of the particular devices respectively
The document provides information about the Network Loop Switch (NLS) device, which protects non-telecom channels like network management data, orderwire, and digital clear channels on microwave radio networks. The NLS can operate in either "network mode" to protect these channels, or "DCC mode" which protects orderwire and one digital clear channel. It functions as a path detection and switching device, closing relays to connect channels when the paths are intact and generating its own pulse when paths are disrupted.
1x2 Digital Optoelectronic Switch using MZI structure and studying the Effect...ijsrd.com
The electro optic switch has wide application in optical network due to capability of route the light path from one port to the desired port. In this paper, we propose a 1x2 digital optoelectronics switch based on mach-zehnder interferometer structure on a single titanium diffuse lithium niobate substrate. The design is simulate on BPM-cad simulator for switch analysis and study the effect of bipolar voltage 0v to ± 5.75v applied at 1st and center electrode region for switching. A short study of wavelength dependent switch for first optical window 8.5 µm and third optical window 1.55 µm has been simulated without use of voltage with changing titanium stripe thickness to 0.07µm.
Process Variation and Radiation-Immune Single Ended 6T SRAM CellIDES Editor
The leakage power can dominate the system power
dissipation and determine the battery life in battery-operated
applications with low duty cycles, such as the wireless sensors,
cellular phones, PDAs or pacemakers. Driven by the need of
ultra-low power applications, this paper presents single ended
6T SRAM (static random access memory) cell which is also
radiation hardened due to maximum use of PMOS
transistors. Due to process imperfection, starting from the 65
nm technology node, device scaling no longer delivers the
power gains. Since then the supply voltage has remained
almost constant and improvement in dynamic power has
stagnated, while the leakage currents have continued to
increase. Therefore, power reduction is the major area of
concern in today’s circuit with minimum-geometry devices
such as nanoscale memories. The proposed design in this
paper saves dynamic write power more than 50%. It also
offers 29.7% improvement in TWA (write access time), 38.5%
improvement in WPWR (write power), 69.6% improvement in
WEDP (write energy delay product), 26.3% improvement in
WEDP variability, 5.6% improvement in RPWR (read power) at
the cost of 22.5% penalty in SNM (static noise margin) at
nominal voltage of VDD = 1 V. The tighter spread in write EDP
implies its robustness against process and temperature
variations. Monte Carlo simulation measurements validate
the design at 32 nm technology node.
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleCSCJournals
This document presents a study on implementing an extremely low power fifth-order FIR digital filter using sub-threshold source coupled logic (STSCL) in a 45nm CMOS process. STSCL allows logic gates to operate at sub-threshold voltage levels, enabling significantly lower power consumption compared to traditional CMOS implementations. The paper designs basic logic gates like XOR, OR, AND in STSCL. A fifth-order FIR filter with transposed direct form structure is implemented using the STSCL gates, with five-bit canonic signed digit multipliers for coefficient multiplication. Simulation results show the STSCL-based FIR filter achieves lower power-delay product than a comparable CMOS implementation, demonstrating the potential for STS
Alles over social media | Onderdeel van Meet the Blogger How to start a blogLaura de Jong
Wat is social media en wat kan het doen voor jou en je bedrijf?
Welk social media platform moet je kiezen?
Hoe maak je succesvolle social media content?
Het opstellen van een content/social media kalender
Mustafa Hotelwala is seeking a position that allows him to gain work experience and develop his professional and personal skills. He has over 5 years of experience working as an Executive Accountant and Accountant. His experience includes accounts payable/receivable, bookkeeping, financial reporting, and tax filing. He is proficient in Tally ERP software and Microsoft Office applications. He holds an MBA and Bachelor's degree in Commerce.
Haiku Deck is a presentation platform that allows users to create Haiku-style slideshows. The document encourages the reader to get started creating their own Haiku Deck presentation on SlideShare by providing a link to do so. It aims to inspire the reader to try out Haiku Deck's unique presentation style.
Este documento presenta una integración entre Drupal y Alfresco ECM a través de CMIS. Explica que Drupal puede acceder al contenido almacenado en Alfresco y permitir la creación y gestión de contenido desde Drupal, manteniendo el contenido real en el repositorio de Alfresco. La integración es no intrusiva y no requiere migración de datos, además de ser de código abierto y gratuita. Finalmente, se muestra una demostración práctica de esta integración entre los sistemas.
Diferentes logotipos, isotipos y isologotipos.YaRie Montiel
El documento describe los logotipos e isotipos de varias empresas famosas. Resume lo siguiente:
1) Adidas fue fundada en 1920 y su logotipo original era un trébol de tres hojas que simbolizaba el espíritu olímpico. En 1996, las tres rayas se convirtieron en el logotipo mundial de la marca.
2) Apple eligió una manzana como su isotipo principal. El logotipo actual con la manzana mordida simboliza la seducción del mercado y seguir los sueños.
3) El isotipo de
Memristor is a new electronic component that can serve as both memory and a logic device. It is made of a thin semiconductor film sandwiched between two metal contacts. The resistance of the memristor can be varied by controlling the direction and duration of the electric current passed through it, allowing it to store analog values. Memristors have potential advantages over other memory technologies as they are faster, smaller, cheaper and use less power while providing non-volatile memory. They could replace flash drives and RAM in future computers and enable new applications in areas like medical monitoring and electronic paper.
In the project#1, IBM 130nm process is used to design and manual layout a 128 word SRAM, with word size 10bits. Cadence's Virtuoso is applied for layout editing, DRC and LVS running and circuit simulation.
This document discusses interfacing an RGB LED with a Raspberry Pi using pulse width modulation (PWM). It provides an overview of RGB LEDs and PWM, describing how PWM works by rapidly switching power on and off faster than what affects the load. It then gives examples of code in Python to control an RGB LED connected to GPIO pins on the Raspberry Pi by changing the duty cycle from 1-100% to gradually change the color.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Static-Noise-Margin Analysis of Modified 6T SRAM Cell during Read Operationidescitation
As modern technology is spreading fast, it is very
important to design low power, high performance, fast
responding SRAM(Static Random Access Memory) since they
are critical component in high performance processors. In
this paper we discuss about the noise effect of different SRAM
circuits during read operation which hinders the stability of
the SRAM cell. This paper also represents a modified 6T
SRAM cell which increases the cell stability without
increasing transistor count.
Review on Railway crack detection by LED-LDR assemblyIRJET Journal
This document proposes a railway crack detection system using an LED-LDR assembly. It summarizes that most commercial transport in India relies on railways, so crack detection is important. The proposed system uses an LED-LDR assembly to detect cracks by measuring changes in light intensity caused by cracks. If a crack is detected, the location is sent via GPS and GSM. The system is designed to be low-cost and use simple components like Arduino, LEDs, LDRs, GPS and GSM modules. It is intended to automatically inspect railway tracks for cracks.
Average and Static Power Analysis of a 6T and 7T SRAM Bit-Cell at 180nm, 90nm...idescitation
A lot of consideration has been given to problems arising due to power dissipation.
Different ideas have been proposed by many researchers from the device level to the
architectural level and above. However, there is no universal way to avoid tradeoffs between
the power, delay and area. This is why; the designers are required to choose appropriate
techniques that satisfy application and product needs. Another important component of
power which contributes to power dissipation is Dynamic Power. This power is increasing
due to prolonged use of the electronic equipments. This is due to the fact that now-a-days
people are working on electronic systems from morning till night; it may be a mobile phone
or a laptop or any other equipment. This paper deals with the estimation of two components
of power i.e. static power (when device is in the standby mode) and the average power
(average amount of energy consumed with respect to time) of a 6T and 7T SRAM (Static
Random Access Memory) bit-cell at 180nm, 90nm, and 45nm CMOS Technology. This is
done in order to estimate the power required for a high speed operation of 6T and 7T
SRAM bit-cell.
Novel low power half subtractor using avl technique based on 0.18µm cmos tech...IJARIIT
Now a day’s arithmetic circuit plays an important role in designing of any VLSI system. Such as subtractor is one of
them. In this paper, half-subtractor is designed by using the adaptive voltage technique (AVL). By using the AVL technique,
half subtractor can reduce the power and delay element. We can reduce the value of total power dissipation by applying the
AVLG (adaptive voltage level at ground) technology in which the ground potential is raised and AVLS (adaptive voltage level
at supply) in which the supply potential is increased. The AVL technique shows the significant reduction in power
consumption and propagation delay. The circuit is simulated on cadence tool in 180 nanometre CMOS technology.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
APPLIANCE CONTROL USING INTELLIGENT SWITCHAmresh Nayak
Intelligent switch is capacitive touch sensor which senses the touch. This
type of switches takes body capacitance as a input in order to turn ON or OFF the devices which is connected to it and also the regulation of it. The devices controlled are bulb, fan, motor and other electronic appliances. This touch switches are needed in order to overcome the disadvantages of mechanical switches like wear and tear, limited operations, sparks etc. The capacitive touch sense pad which consists of one or more sense buttons. When the person touches the touch pad it takes the body capacitance and that analog signal is converted to digital signal in order to make compatible for the MSP430G2553 microcontroller to operate. This microcontroller contains pin oscillator and PWM which is used to turn ON or OFF and regulation of the particular devices respectively
The document provides information about the Network Loop Switch (NLS) device, which protects non-telecom channels like network management data, orderwire, and digital clear channels on microwave radio networks. The NLS can operate in either "network mode" to protect these channels, or "DCC mode" which protects orderwire and one digital clear channel. It functions as a path detection and switching device, closing relays to connect channels when the paths are intact and generating its own pulse when paths are disrupted.
1x2 Digital Optoelectronic Switch using MZI structure and studying the Effect...ijsrd.com
The electro optic switch has wide application in optical network due to capability of route the light path from one port to the desired port. In this paper, we propose a 1x2 digital optoelectronics switch based on mach-zehnder interferometer structure on a single titanium diffuse lithium niobate substrate. The design is simulate on BPM-cad simulator for switch analysis and study the effect of bipolar voltage 0v to ± 5.75v applied at 1st and center electrode region for switching. A short study of wavelength dependent switch for first optical window 8.5 µm and third optical window 1.55 µm has been simulated without use of voltage with changing titanium stripe thickness to 0.07µm.
Process Variation and Radiation-Immune Single Ended 6T SRAM CellIDES Editor
The leakage power can dominate the system power
dissipation and determine the battery life in battery-operated
applications with low duty cycles, such as the wireless sensors,
cellular phones, PDAs or pacemakers. Driven by the need of
ultra-low power applications, this paper presents single ended
6T SRAM (static random access memory) cell which is also
radiation hardened due to maximum use of PMOS
transistors. Due to process imperfection, starting from the 65
nm technology node, device scaling no longer delivers the
power gains. Since then the supply voltage has remained
almost constant and improvement in dynamic power has
stagnated, while the leakage currents have continued to
increase. Therefore, power reduction is the major area of
concern in today’s circuit with minimum-geometry devices
such as nanoscale memories. The proposed design in this
paper saves dynamic write power more than 50%. It also
offers 29.7% improvement in TWA (write access time), 38.5%
improvement in WPWR (write power), 69.6% improvement in
WEDP (write energy delay product), 26.3% improvement in
WEDP variability, 5.6% improvement in RPWR (read power) at
the cost of 22.5% penalty in SNM (static noise margin) at
nominal voltage of VDD = 1 V. The tighter spread in write EDP
implies its robustness against process and temperature
variations. Monte Carlo simulation measurements validate
the design at 32 nm technology node.
Extremely Low Power FIR Filter for a Smart Dust Sensor ModuleCSCJournals
This document presents a study on implementing an extremely low power fifth-order FIR digital filter using sub-threshold source coupled logic (STSCL) in a 45nm CMOS process. STSCL allows logic gates to operate at sub-threshold voltage levels, enabling significantly lower power consumption compared to traditional CMOS implementations. The paper designs basic logic gates like XOR, OR, AND in STSCL. A fifth-order FIR filter with transposed direct form structure is implemented using the STSCL gates, with five-bit canonic signed digit multipliers for coefficient multiplication. Simulation results show the STSCL-based FIR filter achieves lower power-delay product than a comparable CMOS implementation, demonstrating the potential for STS
Alles over social media | Onderdeel van Meet the Blogger How to start a blogLaura de Jong
Wat is social media en wat kan het doen voor jou en je bedrijf?
Welk social media platform moet je kiezen?
Hoe maak je succesvolle social media content?
Het opstellen van een content/social media kalender
Mustafa Hotelwala is seeking a position that allows him to gain work experience and develop his professional and personal skills. He has over 5 years of experience working as an Executive Accountant and Accountant. His experience includes accounts payable/receivable, bookkeeping, financial reporting, and tax filing. He is proficient in Tally ERP software and Microsoft Office applications. He holds an MBA and Bachelor's degree in Commerce.
Haiku Deck is a presentation platform that allows users to create Haiku-style slideshows. The document encourages the reader to get started creating their own Haiku Deck presentation on SlideShare by providing a link to do so. It aims to inspire the reader to try out Haiku Deck's unique presentation style.
Este documento presenta una integración entre Drupal y Alfresco ECM a través de CMIS. Explica que Drupal puede acceder al contenido almacenado en Alfresco y permitir la creación y gestión de contenido desde Drupal, manteniendo el contenido real en el repositorio de Alfresco. La integración es no intrusiva y no requiere migración de datos, además de ser de código abierto y gratuita. Finalmente, se muestra una demostración práctica de esta integración entre los sistemas.
Diferentes logotipos, isotipos y isologotipos.YaRie Montiel
El documento describe los logotipos e isotipos de varias empresas famosas. Resume lo siguiente:
1) Adidas fue fundada en 1920 y su logotipo original era un trébol de tres hojas que simbolizaba el espíritu olímpico. En 1996, las tres rayas se convirtieron en el logotipo mundial de la marca.
2) Apple eligió una manzana como su isotipo principal. El logotipo actual con la manzana mordida simboliza la seducción del mercado y seguir los sueños.
3) El isotipo de
El documento describe la configuración de red de una empresa con 20 empleados. La empresa tiene departamentos de sistemas y desarrollo de software. Se instalará Active Directory, DNS, DHCP y un switch virtual. Se crearán usuarios, grupos y perfiles para los empleados y visitantes. El administrador configurará directivas de grupo para controlar el acceso y ajustes de los equipos.
La archivística líquida: El contexto actual de la profesión en el ámbito de l...Jordi Serra Serra
Esta conferencia reflexiona sobre la situación actual de la gestión documental en relación con las necesidades a las que debe dar respuesta. Se analiza este posicionamiento desde cuatro factores: la sociedad, las organizaciones, la tecnología, y la profesión, y dentro de este último factor se contemplan cinco variables: la crisis de la gestión documental tradicional, las nuevas oportunidades en el marco de la gobernanza de la información, las novedades en el contexto normativo, los modelos de servicio de gestión documental, y la evolución del perfil profesional.
This conference analyzes the current state of records management in relation to the needs faced today. This state is analyzed from four factors: society, organizations, technology, and the profession, and within the last factor five variables are considered: the crisis of traditional records management, new opportunities in the framework of information governance, new developments in regulatory context, service models for records management, and the evolution of the professional profile.
Jordi Serra Serra. "La gestió documental: el futur (és) ara!". A: II Congrés de Govern Digital: el repte de la societat digital i la transformació de les AAPP, Barcelona, 25 de gener de 2017.
A Simplied Bit-Line Technique for Memory Optimizationijsrd.com
High fan-in and fan-out in read-write of memory requires more area, power, and causes large propagation delay .The number of transistor counts also increases due to large fan-in and fan-out. A simplified bit line technique for power optimization of memory proposed consumes steady power, requires less number of transistors and hence reduces the propagation delay for any fan-in and fan-out of read-write memory. Adopting simplified bit line technique, we implemented 32-word 16-bits/word, 32-word 16-bits/word and so on, 1-read, 1-write ported register files in a 1.2-V/2.5V. By using this technique 2n word x m-bits/words can be achieved with steady power consumption of 2.4mW for 1.2V/2.5V, this power consumption can be further reduced to half of present level by constraining the parameters such as temperature, speed, frequency of operation etc for processing technology.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
Smart operating of home lightning with zigbee communicationsrajyasri
This document describes a smart home lighting system that uses Zigbee wireless communications to control home lighting loads. The system allows users to control light switching, status, scheduling, patterns, and speeds remotely using a Zigbee module. An ARM7 microcontroller is used to interface with the Zigbee module and control loads based on the received signals. Status information can be displayed on an LCD screen. The system provides wireless control of home lighting that allows control of status, scheduling, patterns, and speeds all at the same time.
Low Power Design of Standard Digital Gate Design Using Novel Sleep Transisto...IJMER
In the nanometer range design technologies static power consumption is very important
issue in present peripheral devices. In the CMOS based VLSI circuits technology is scaling towards
down in respect of size and achieving higher operating speeds. We have also considered these
parameters such that we can control the leakage power. As process model design are getting smaller
the density of device increases and threshold voltage as well as oxide thickness decrease to maintain
the device performance. In this article two novel circuit techniques for reduction leakage current in
NAND and NOR inverters using novel sleepy and sleepy property are investigated. We have proposed a
design model that has significant reduction in power dissipation during inactive (standby) mode of
operation compared to classical power gating methods for these circuit techniques. The proposed
circuit techniques are applied to NAND and NOR inverters and the results are compared with earlier
inverter leakage minimization techniques. All low leakage models of inverters are designed and
simulated in Tanner Tool environment using 65 nm CMOS Technology (1volt) technologies. Average
power, Leakage power, sleep transistor
An ECG-on-Chip with 535-nW/Channel Integrated Lossless Data Compressor for Wi...ecgpapers
Abstract—This paper presents a low-power ECG recording
system-on-chip (SoC) with on-chip low-complexity lossless ECG
compression for data reduction in wireless/ambulatory ECG
sensor devices. The chip uses a linear slope predictor for data
compression, and incorporates a novel low-complexity dynamic
coding-packaging scheme to frame the prediction error into
fixed-length 16 bit format. The proposed technique achieves an
average compression ratio of 2.25× on MIT/BIH ECG database.
Implemented in a standard 0.35 μm process, the compressor uses
0.565 K gates/channel occupying 0.4 mm for four channels, and
consumes 535 nW/channel at 2.4 V for ECG sampled at 512 Hz.
Small size and ultra-low-power consumption makes the proposed
technique suitable for wearable ECG sensor applications.
AN IMPROVED ECG SIGNAL ACQUISITION SYSTEM THROUGH CMOS TECHNOLOGYBHAVANA KONERU
This document describes the design of a low-power, high-gain ECG signal acquisition system using CMOS technology. The system consists of three subsystems: an operational amplifier-based pre-amplifier, an 8-bit flash ADC, and a USB interface. The pre-amplifier is designed using a two-stage CMOS op-amp with a gain of 85 dB, power dissipation of 0.683 mW, and phase margin of 61.5 degrees. The flash ADC converts the amplified analog ECG signal to a digital signal. A USB interface transfers the digital data to a computer for analysis. Simulation and testing of the system was performed using Tanner Tool 0.5 μm CMOS technology.
Design and Implementation of Submicron Level 10T Full Adder in ALU Using Cell...IJERA Editor
As technology scales into the nanometer regime leakage current, active power, delay and area are becoming important metric for the analysis and design of complex circuits. The main concern in mobile and battery based systems are leakage current and power dissipation. A transistor resizing approach for 10 transistor single bit full adder cells is used to determine optimal sleep transistor size which reduces power dissipation and leakage current. A submicron level 10-transistor single bit full adder cell is considered to achieve low leakage current, reduced power dissipation and high speed. In this paper initially 10T full adder cell is designed with submicron technique and later this is employed to design an ALU adder unit. The modified ALU is simulated and synthesized successfully on cadence 180nm technology.
Low power sram design using block partitioningeSAT Journals
Abstract
Technology scaling results in significant increase of leakage currents in MOS devices due to which power consumption in Nano scale
devices increases. As memory accounts for the largest share of power consumption, thus there is need to design such a memory which
will consume less power.
Through this paper, we propose a systematic approach by Block partitioning which provides a methodology for reducing the dynamic
power consumption of SRAM (static random access memory). Dynamic power dissipation in memory is due to charging/discharging
of long capacitive lines (bit line and world line). So by block partitioning our goal is to reduce length of world line as well as bit line
capacitances. instead of implementing 1KB SRAM at a time we are designing four blocks of 256 byte RAM, which reduces world
line from 1024 bits to 256 bits. We implemented our design on TANNER TOOL using 180 nm technology
Keywords-Low power, SRAM, 6T cell, Dynamic power.
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Implementation of Area Effective Carry Select AddersKumar Goud
Abstract: In the design of Integrated circuit area occupancy plays a vital role because of increasing the necessity of portable systems. Carry Select Adder (CSLA) is a fast adder used in data processing processors for performing fast arithmetic functions. From the structure of the CSLA, the scope is reducing the area of CSLA based on the efficient gate-level modification. In this paper 16 bit, 32 bit, 64 bit and 128 bit Regular Linear CSLA, Modified Linear CSLA, Regular Square-root CSLA (SQRT CSLA) and Modified SQRT CSLA architectures have been developed and compared. However, the Regular CSLA is still area-consuming due to the dual Ripple-Carry Adder (RCA) structure. For reducing area, the CSLA can be implemented by using a single RCA and an add-one circuit instead of using dual RCA. Comparing the Regular Linear CSLA with Regular SQRT CSLA, the Regular SQRT CSLA has reduced area as well as comparing the Modified Linear CSLA with Modified SQRT CSLA; the Modified SQRT CSLA has reduced area. The results and analysis show that the Modified Linear CSLA and Modified SQRT CSLA provide better outcomes than the Regular Linear CSLA and Regular SQRT CSLA respectively. This project was aimed for implementing high performance optimized FPGA architecture. Modelsim 10.0c is used for simulating the CSLA and synthesized using Xilinx PlanAhead13.4. Then the implementation is done in Virtex5 FPGA Kit.
Keywords: Field Programmable Gate Array (FPGA), efficient, Carry Select Adder (CSLA), Square-root CSLA (SQRTCSLA).
The document is an acknowledgement by the authors expressing gratitude to their guide Mr. Ratnesh S. Sengar and Mr. Saurabh Mishra for their guidance and support in successfully completing their project. It thanks them for their valuable suggestions, inspiration, and help throughout all stages of the project. The authors also acknowledge exchanging ideas with various other people.
Low Power System on chip based design methodologyAakash Patel
This document summarizes several low power techniques for system-on-chip (SoC) design, including ASNoC (application-specific network-on-chip), CAPCOM (critical-path aware power consumption optimization), and IP reuse methodology. It describes the basic SoC structure and low power design flow. ASNoC is explained as a methodology that generates optimized hierarchical networks and memory for applications, using 39% less power and 59% less silicon area compared to other networks. CAPCOM uses mixed voltage threshold cells to reduce power consumption up to 44.9% for a 16-bit multiplier circuit. IP reuse methodology enhances existing IP blocks to meet goals like power reduction and performance.
Wireless energy meter monitoring with automated tariff calculationUdayalakshmi JK
Electricity billing has become a difficult task. The board has to make regular visit to the consumers house to make the reading. Also it can cause manual error. Now here we are monitoring the energy meter with modern techniques. The total energy consumed by the consumer and the consumption cost is known to the consumer and to the board by means of a hand held device.
Addition is a fundamental arithmetic operation that is broadly used in many VLSI systems, such as application-specific digital signal processing (DSP) architectures and microprocessors. This addition module is also the core of other arithmetic operations such as subtraction, multiplication, division and address generation. The prime objective of this project is to design a full-adder having low-power consumption and low propagation delay which may result in the efficient implementation of modern digital systems. This model is referred as “hybrid” because of the combination of two different design logic styles namely CMOS logic and pass transistor logic. Performance parameters such as power, delay and hence energy were compared with the existing designs such as complementary CMOS logic full adder. In the existing hybrid systems, over 28 transistors were used. While the optimized hybrid full adder circuit reduces this count to 8 transistors, it still obtains better energy efficiency. Further the proper working of proposed full adder is verified by applying it in a Ripple carry Adder circuit.
IRJET- Modified Low Power Single Bit-Line Static Random-Access Memory Cell Ar...IRJET Journal
The document discusses a modified low power single bit-line static random access memory (SRAM) cell architecture. It begins by introducing the issues of power consumption in memory systems and discusses existing techniques to reduce power, including adiabatic logic. It then presents a previously proposed single bit-line SRAM cell with an adiabatically operated word line. The document goes on to propose a modification to this cell that eliminates one transistor, reducing power consumption from 0.498mW to 0.350mW without impacting stability or performance. Simulation results demonstrating the power reduction are shown and conclusions are drawn about the benefits of the modified low power cell.
Design and Performance Evaluation of a 64-bit SRAM Memory Array Utilizing Mod...IRJET Journal
This document summarizes a research paper that designed and evaluated the performance of a 64-bit SRAM memory array using modern deep submicron technology. The key points are:
1) A 64-bit SRAM memory array was designed using a 1-bit 7T SRAM cell and implemented in a 8x8 bit configuration using CMOS technology and a 0.7 volt supply.
2) The 7T SRAM cell design aimed to reduce leakage power during read/write operations and improve noise immunity at low voltages.
3) Simulation results showed the 64-bit SRAM array had lower read and write power consumption compared to 8T and 7T SRAM designs.
This document describes the design and development of a seismic data acquisition system based on an accelerometer, ADC, and ARM microcontroller. The system aims to transmit stored seismic data to a remote location for analysis in a cost-effective way. It discusses using an ARM microcontroller with direct memory access to efficiently manage reading large amounts of data from a high-resolution ADC. The document outlines the proposed system design including interfacing sensors with an ADC, filtering, data storage, and displaying results. It compares the proposed system to existing commercial systems, noting improvements in cost effectiveness, upgradability, and accuracy of real-time measurement for seismic signal analysis applications.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Similar to A High Accuracy, Low Power, Reproducible Temperature Telemetry System (20)
Due to availability of internet and evolution of embedded devices, Internet of things can be useful to contribute in energy domain. The Internet of Things (IoT) will deliver a smarter grid to enable more information and connectivity throughout the infrastructure and to homes. Through the IoT, consumers, manufacturers and utility providers will come across new ways to manage devices and ultimately conserve resources and save money by using smart meters, home gateways, smart plugs and connected appliances. The future smart home, various devices will be able to measure and share their energy consumption, and actively participate in house-wide or building wide energy management systems. This paper discusses the different approaches being taken worldwide to connect the smart grid. Full system solutions can be developed by combining hardware and software to address some of the challenges in building a smarter and more connected smart grid.
A Survey Report on : Security & Challenges in Internet of Thingsijsrd.com
In the era of computing technology, Internet of Things (IoT) devices are now popular in each and every domains like e-governance, e-Health, e-Home, e-Commerce, and e-Trafficking etc. Iot is spreading from small to large applications in all fields like Smart Cities, Smart Grids, Smart Transportation. As on one side IoT provide facilities and services for the society. On the other hand, IoT security is also a crucial issues.IoT security is an area which totally concerned for giving security to connected devices and networks in the IoT .As, IoT is vast area with usability, performance, security, and reliability as a major challenges in it. The growth of the IoT is exponentially increases as driven by market pressures, which proportionally increases the security threats involved in IoT The relationship between the security and billions of devices connecting to the Internet cannot be described with existing mathematical methods. In this paper, we explore the opportunities possible in the IoT with security threats and challenges associated with it.
In today’s emerging world of Internet, each and every thing is supposed to be in connected mode with the help of billions of smart devices. By connecting all the devises used in our day to day life, make our life trouble less and easy. We are incorporated in a world where we are used to have smart phones, smart cars, smart gadgets, smart homes and smart cities. Different institutes and researchers are working for creating a smart world for us but real question which we need to emphasis on is how to make dumb devises talk with uncommon hardware and communication technology. For the same what kind of mechanism to use with various protocols and less human interaction. The purpose is to provide the key area for application of IoT and a platform on which various devices having different mechanism and protocols can communicate with an integrated architecture.
Study on Issues in Managing and Protecting Data of IOTijsrd.com
This paper discusses variety of issues for preserving and managing data produced by IoT. Every second large amount of data are added or updated in the IoT databases across the heterogeneous environment. While managing the data each phase of data processing for IoT data is exigent like storing data, querying, indexing, transaction management and failure handling. We also refer to the problem of data integration and protection as data requires to be fit in single layout and travel securely as they arrive in the pool from diversified sources in different structure. Finally, we confer a standardized pathway to manage and to defend data in consistent manner.
Interactive Technologies for Improving Quality of Education to Build Collabor...ijsrd.com
Today with advancement in Information Communication Technology (ICT) the way the education is being delivered is seeing a paradigm shift from boring classroom lectures to interactive applications such as 2-D and 3-D learning content, animations, live videos, response systems, interactive panels, education games, virtual laboratories and collaborative research (data gathering and analysis) etc. Engineering is emerging with more innovative solutions in the field of education and bringing out their innovative products to improve education delivery. The academic institutes which were once hesitant to use such technology are now looking forward to such innovations. They are adopting the new ways as they are realizing the vast benefits of using such methods and technology. The benefits are better comprehensibility, improved learning efficiency of students, and access to vast knowledge resources, geographical reach, quick feedback, accountability and quality research. This paper focuses on how engineering can leverage the latest technology and build a collaborative learning environment which can then be integrated with the national e-learning grid.
Internet of Things - Paradigm Shift of Future Internet Application for Specia...ijsrd.com
In the world more than 15% people are living with disability that also include children below age of 10 years. Due to lack of independent support services specially abled (handicap) people overly rely on other people for their basic needs, that excludes them from being financially and socially active. The Internet of Things (IoT) can give support system and a better quality of life as well as participation in routine and day to day life. For this purpose, the future solutions for current problems has been introduced in this paper. Daunting challenges have been considered as future research and glimpse of the IoT for specially abled person is given in the paper.
A Study of the Adverse Effects of IoT on Student's Lifeijsrd.com
Internet of things (IoT) is the most powerful invention and if used in the positive direction, internet can prove to be very productive. But, now a days, due to the social networking sites such as Face book, WhatsApp, twitter, hike etc. internet is producing adverse effects on the student life, especially those students studying at college Level. As it is rightly said, something which has some positive effects also has some of the negative effects on the other hand. In this article, we are discussing some adverse effects of IoT on student’s life.
Pedagogy for Effective use of ICT in English Language Learningijsrd.com
The use of information and communications technology (ICT) in education is a relatively new phenomenon and it has been the educational researchers' focus of attention for more than two decades. Educators and researchers examine the challenges of using ICT and think of new ways to integrate ICT into the curriculum. However, there are some barriers for the teachers that prevent them to use ICT in the classroom and develop supporting materials through ICT. The purpose of this study is to examine the high school English teachers’ perceptions of the factors discouraging teachers to use ICT in the classroom.
In recent years usage of private vehicles create urban traffic more and more crowded. As result traffic becomes one of the important problems in big cities in all over the world. Some of the traffic concerns are traffic jam and accidents which have caused a huge waste of time, more fuel consumption and more pollution. Time is very important parameter in routine life. The main problem faced by the people is real time routing. Our solution Virtual Eye will provide the current updates as in the real time scenario of the specific route. This research paper presents smart traffic navigation system, based on Internet of Things, which is featured by low cost, high compatibility, easy to upgrade, to replace traditional traffic management system and the proposed system can improve road traffic tremendously.
Ontological Model of Educational Programs in Computer Science (Bachelor and M...ijsrd.com
In this work there is illustrated an ontological model of educational programs in computer science for bachelor and master degrees in Computer science and for master educational program “Computer science as second competence†by Tempus project PROMIS.
Understanding IoT Management for Smart Refrigeratorijsrd.com
1) The document discusses a proposed design for an intelligent refrigerator that leverages sensor technology and wireless communication to identify food items and order more through an internet connection when supplies are low.
2) Key aspects of the proposal include using RFID to uniquely identify each food item, storing item and usage data in an XML database, monitoring usage patterns to determine reordering needs, and executing orders through an online retailer using stored payment details.
3) Security and privacy concerns with such an internet-connected refrigerator are discussed, such as potential hacking of personal information or unauthorized device control. The proposal aims to minimize human interaction for household management.
DESIGN AND ANALYSIS OF DOUBLE WISHBONE SUSPENSION SYSTEM USING FINITE ELEMENT...ijsrd.com
Double wishbone designs allow the engineer to carefully control the motion of the wheel throughout suspension travel. 3-D model of the Lower Wishbone Arm is prepared by using CAD software for modal and stress analysis. The forces and moments are used as the boundary conditions for finite element model of the wishbone arm. By using these boundary conditions static analysis is carried out. Then making the load as a function of time; quasi-static analysis of the wishbone arm is carried out. A finite element based optimization is used to optimize the design of lower wishbone arm. Topology optimization and material optimization techniques are used to optimize lower wishbone arm design.
A Review: Microwave Energy for materials processingijsrd.com
Microwave energy is a latest largest growing technique for material processing. This paper presents a review of microwave technologies used for material processing and its use for industrial applications. Advantages in using microwave energy for processing material include rapid heating, high heating efficiency, heating uniformity and clean energy. The microwave heating has various characteristics and due to which it has been become popular for heating low temperature applications to high temperature applications. In recent years this novel technique has been successfully utilized for the processing of metallic materials. Many researchers have reported microwave energy for sintering, joining and cladding of metallic materials. The aim of this paper is to show the use of microwave energy not only for non-metallic materials but also the metallic materials. The ability to process metals with microwave could assist in the manufacturing of high performance metal parts desired in many industries, for example in automotive and aeronautical industries.
Web Usage Mining: A Survey on User's Navigation Pattern from Web Logsijsrd.com
With an expontial growth of World Wide Web, there are so many information overloaded and it became hard to find out data according to need. Web usage mining is a part of web mining, which deal with automatic discovery of user navigation pattern from web log. This paper presents an overview of web mining and also provide navigation pattern from classification and clustering algorithm for web usage mining. Web usage mining contain three important task namely data preprocessing, pattern discovery and pattern analysis based on discovered pattern. And also contain the comparative study of web mining techniques.
APPLICATION OF STATCOM to IMPROVED DYNAMIC PERFORMANCE OF POWER SYSTEMijsrd.com
Application of FACTS controller called Static Synchronous Compensator STATCOM to improve the performance of power grid with Wind Farms is investigated .The essential feature of the STATCOM is that it has the ability to absorb or inject fastly the reactive power with power grid . Therefore the voltage regulation of the power grid with STATCOM FACTS device is achieved. Moreover restoring the stability of the power system having wind farm after occurring severe disturbance such as faults or wind farm mechanical power variation is obtained with STATCOM controller . The dynamic model of the power system having wind farm controlled by proposed STATCOM is developed . To validate the powerful of the STATCOM FACTS controller, the studied power system is simulated and subjected to different severe disturbances. The results prove the effectiveness of the proposed STATCOM controller in terms of fast damping the power system oscillations and restoring the power system stability.
Making model of dual axis solar tracking with Maximum Power Point Trackingijsrd.com
Now a days solar harvesting is more popular. As the popularity become higher the material quality and solar tracking methods are more improved. There are several factors affecting the solar system. Major influence on solar cell, intensity of source radiation and storage techniques The materials used in solar cell manufacturing limit the efficiency of solar cell. This makes it particularly difficult to make considerable improvements in the performance of the cell, and hence restricts the efficiency of the overall collection process. Therefore, the most attainable maximum power point tracking method of improving the performance of solar power collection is to increase the mean intensity of radiation received from the source used. The purposed of tracking system controls elevation and orientation angles of solar panels such that the panels always maintain perpendicular to the sunlight. The measured variables of our automatic system were compared with those of a fixed angle PV system. As a result of the experiment, the voltage generated by the proposed tracking system has an overall of about 28.11% more than the fixed angle PV system. There are three major approaches for maximizing power extraction in medium and large scale systems. They are sun tracking, maximum power point (MPP) tracking or both.
A REVIEW PAPER ON PERFORMANCE AND EMISSION TEST OF 4 STROKE DIESEL ENGINE USI...ijsrd.com
This document summarizes a review paper on performance and emission testing of a 4-stroke diesel engine using ethanol-diesel blends at different pressures. The paper reviews several previous studies that tested blends of 5-30% ethanol mixed with diesel fuel. The studies found that a 10-20% ethanol blend can improve brake thermal efficiency compared to pure diesel, while also reducing emissions like NOx and smoke. Higher ethanol blends required advancing the injection timing to allow the engine to run. Ethanol-diesel blends were found to have lower density, viscosity, pour point and higher flash point compared to pure diesel. Overall, ethanol shows potential as a renewable fuel to improve engine performance and reduce emissions when blended with diesel
Study and Review on Various Current Comparatorsijsrd.com
This paper presents study and review on various current comparators. It also describes low voltage current comparator using flipped voltage follower (FVF) to obtain the single supply voltage. This circuit has short propagation delay and occupies a small chip area as compare to other current comparators. The results of this circuit has obtained using PSpice simulator for 0.18 μm CMOS technology and a comparison has been performed with its non FVF counterpart to contrast its effectiveness, simplicity, compactness and low power consumption.
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...ijsrd.com
Power dissipation is a challenging problem for today's system-on-chip design and test. This paper presents a novel architecture which generates the test patterns with reduced switching activities; it has the advantage of low test power and low hardware overhead. The proposed LP-TPG (test pattern generator) structure consists of modified low power linear feedback shift register (LP-LFSR), m-bit counter, gray counter, NOR-gate structure and XOR-array. The seed generated from LP-LFSR is EXCLUSIVE-OR ed with the data generated from gray code generator. The XOR result of the sequence is single input changing (SIC) sequence, in turn reduces the switching activity and so power dissipation will be very less. The proposed architecture is simulated using Modelsim and synthesized using Xilinx ISE9.2.The Xilinx chip scope tool will be used to test the logic running on FPGA.
Defending Reactive Jammers in WSN using a Trigger Identification Service.ijsrd.com
In the last decade, the greatest threat to the wireless sensor network has been Reactive Jamming Attack because it is difficult to be disclosed and defend as well as due to its mass destruction to legitimate sensor communications. As discussed above about the Reactive Jammers Nodes, a new scheme to deactivate them efficiently is by identifying all trigger nodes, where transmissions invoke the jammer nodes, which has been proposed and developed. Due to this identification mechanism, many existing reactive jamming defending schemes can be benefited. This Trigger Identification can also work as an application layer .In this paper, on one side we provide the several optimization problems to provide complete trigger identification service framework for unreliable wireless sensor networks and on the other side we also provide an improved algorithm with regard to two sophisticated jamming models, in order to enhance its robustness for various network scenarios.
বাংলাদেশের অর্থনৈতিক সমীক্ষা ২০২৪ [Bangladesh Economic Review 2024 Bangla.pdf] কম্পিউটার , ট্যাব ও স্মার্ট ফোন ভার্সন সহ সম্পূর্ণ বাংলা ই-বুক বা pdf বই " সুচিপত্র ...বুকমার্ক মেনু 🔖 ও হাইপার লিংক মেনু 📝👆 যুক্ত ..
আমাদের সবার জন্য খুব খুব গুরুত্বপূর্ণ একটি বই ..বিসিএস, ব্যাংক, ইউনিভার্সিটি ভর্তি ও যে কোন প্রতিযোগিতা মূলক পরীক্ষার জন্য এর খুব ইম্পরট্যান্ট একটি বিষয় ...তাছাড়া বাংলাদেশের সাম্প্রতিক যে কোন ডাটা বা তথ্য এই বইতে পাবেন ...
তাই একজন নাগরিক হিসাবে এই তথ্য গুলো আপনার জানা প্রয়োজন ...।
বিসিএস ও ব্যাংক এর লিখিত পরীক্ষা ...+এছাড়া মাধ্যমিক ও উচ্চমাধ্যমিকের স্টুডেন্টদের জন্য অনেক কাজে আসবে ...
How to Manage Your Lost Opportunities in Odoo 17 CRMCeline George
Odoo 17 CRM allows us to track why we lose sales opportunities with "Lost Reasons." This helps analyze our sales process and identify areas for improvement. Here's how to configure lost reasons in Odoo 17 CRM
This slide is special for master students (MIBS & MIFB) in UUM. Also useful for readers who are interested in the topic of contemporary Islamic banking.
A workshop hosted by the South African Journal of Science aimed at postgraduate students and early career researchers with little or no experience in writing and publishing journal articles.
The simplified electron and muon model, Oscillating Spacetime: The Foundation...RitikBhardwaj56
Discover the Simplified Electron and Muon Model: A New Wave-Based Approach to Understanding Particles delves into a groundbreaking theory that presents electrons and muons as rotating soliton waves within oscillating spacetime. Geared towards students, researchers, and science buffs, this book breaks down complex ideas into simple explanations. It covers topics such as electron waves, temporal dynamics, and the implications of this model on particle physics. With clear illustrations and easy-to-follow explanations, readers will gain a new outlook on the universe's fundamental nature.
A review of the growth of the Israel Genealogy Research Association Database Collection for the last 12 months. Our collection is now passed the 3 million mark and still growing. See which archives have contributed the most. See the different types of records we have, and which years have had records added. You can also see what we have for the future.
A High Accuracy, Low Power, Reproducible Temperature Telemetry System
1. IJSRD - International Journal for Scientific Research & Development| Vol. 2, Issue 09, 2014 | ISSN (online): 2321-0613
All rights reserved by www.ijsrd.com 312
A high accuracy, Low power, Reproducible temperature telemetry
System
Ankur Sharma1
Gaurav Soni2
1,2
Electronics and Communication
1.2
Poornima College of Engineering
Abstract— Sense amplifiers are extensively used in
memory. Sense amplifiers are one of the most vital circuits
in the periphery of CMOS memories. We know that
memory is the heart of all digital systems. Today all worlds
are demanding high speed and low power dissipation as well
as small area. We know that speed and power dissipation of
memory is overall depends upon the sense amplifier we used
and their performance strongly affects both memory access
time, and overall memory power dissipation. So it is
important to design a good sense amplifier which performs
well in both speed and power dissipation. In this
dissertation, an implementation of a most efficient sense
amplifier is done by comparing the best known sense
amplifier in today. The dissertation focuses on design,
simulation and performance analysis of sense amplifiers. In
this thesis, current latch sense amplifier and body bias
controlled current latch sense amplifier are designed and
results compared. The result shows that the body bias
controlled current latch sense amplifier is performing best.
The result also shows a novel sense amplifier which
consumes small power at same time its speed is faster than
other sense amplifiers.
Keywords: CMOS, memory access time, SRAMs
I. INTRODUCTION
Digital system design in an amazing and emerging field now
days. Each and every digital system has adequate memories.
In memory today the CMOS memories are used in a much
greater quantity than all the other types of semiconductor
integrated circuit. SRAMs are used as large caches in
microprocessor cores and serve as storage in various inputs
on a system-on-chip like graphics, audio, video and image
processors. SRAMs also used in high performance
microprocessors and graphics chips so for each generation
to bridge the increasing divergence in the speeds of the
processor and the main memory we need high speed
requirements. At the same time, SRAMs used in application
processors which go into mobile, handheld and consumer
devices have very low power requirements. So power
dissipation has become an important consideration both due
to the increased integration and operating speeds, as well as
due to the explosive growth of battery operated appliances.
As with other integrated circuits today, CMOS memories are
required to increase speed, improve capacity and maintain
low power dissipation.
To read the contents of this memory a sense
amplifier is used. The sense amplifier converts the arbitrary
logic levels of bitlines to the digital logic levels which
required running the peripheral Boolean circuits of outside
world of memory. In the SRAM data path, switching of the
bitlines, I/O lines and biasing the sense amplifiers consume
a significant fraction of the total power. Mainly performance
of memory depends on the performance of SA such as delay
and power dissipation.
So the sense amplifier is one of the most circuits in
the periphery of CMOS memories. Speed and power
dissipation of the memory is mainly depends on types of
sense amplifier used. So performance of SA strongly affects
both memory access time, and overall memory power
dissipation.
II. SENSE AMPLIFIER
A sense amplifier is an active analog circuit that reduces the
time of signal propagation from an accessed memory cell to
the logic circuit located at the periphery of the memory cell
array, and used to detect small variation on bitlines of
memory and produce full voltage swing it means that
converts the arbitrary logic levels occurring on a bitline to
the digital logic levels of the peripheral Boolean circuits.
The sense amplifier circuit has to operate within the
conditions which are set by the operation margins.
Operation margins in a digital circuit are those domains of
voltages, current and charges. These domains
unambiguously represent data throughout the entire
operation range of the circuit. Operation margin depends on
the circuit design, processing technology and environmental
conditions. Sense amplifiers, used with memory cells, are
key elements in defining the performance and environmental
tolerance of CMOS memories. Because of their great
importance in memory designs, sense amplifiers became a
very large circuit-class. CMOS memories are used in a
much greater quantity than all the other types of
semiconductor integrated circuits, and appear in an amazing
variety of circuit organizations.
III. IPLEMENTATION AND SIMULATION OF SENSE AMPLIFIERS
As previously discussed in chapters about various sense
amplifiers, it is found that some sense amplifier consume
less power but with more delay than other consume slightly
more power but speed (with less delay) is relatively large. In
this dissertation I propose a new sensing scheme which has
less delay, more sensitivity than previously discussed with
less power consumption. This chapter divided into four
parts.
Current latch sense amplifier
Latch operation
The Sizing Consideration
Body-biased controlled Current latch sense
amplifier
2. A high accuracy, Low power, Reproducible temperature telemetry System
(IJSRD/Vol. 2/Issue 09/2014/071)
All rights reserved by www.ijsrd.com 313
These sense amplifiers made using with the help of Tanner
tool V13.1.
IV. CIRCUIT CONFIGURATION
It consists of 5 nMOS and 4pMOS transistors namely MN1,
MN2, MN3, MN4, and MN5.
Fig. 1:. Current Latch Sense Amplifier (CLSA)
Fig. 2: Current Latch Sense Amplifier (CLSA) with
different W/L ratio
V. SIMULATION RESULTS
Fig. 3: Simulation waveforms of the Current Latch Sense
Amplifier (CLSA) (a) for Bit Voltage (b) For Constant
Voltage Difference
Vsae Vbl Vblb Vout Voutb Remark
5V 5V 4.5 V 0V 5V In sense mode
0V 5V 4.5 V 5V 5V In pre-charge mode
5V 4.5V 5 V 5V 0V In sense mode
0V 4.5V 5 V 5V 5V In pre-charge mode
Table 1: CLSA operation
VI. CONCLUSION AND FUTURE SCOPE
In this chapter I summarize the conclusion for the proposed
design and also explained about future scope.
A. Conclusion
In this dissertation Body Bias Controlled Current Latch
Sense Amplifier has been designed and simulated using
180nm CMOS technology of tanner tool at a various supply
voltage from1.0V to 5.0V. A Sense Amplifier is specially
proposed in this dissertation as it is the heart of the Memory.
Especially I proposed a BB-CLSA for low power and high
speed operations in SRAM. This proposed Sense amplifier
is compared with nearest basic Current Latch Sense
amplifier as
The power consumption of proposed BB-CLSA
has been reduced from 47% to 87% compared to
conventional CLSA.
Speed of proposed Sense amplifier is increased by
10% as compared to conventional CLSA.
Noise Margin and Sensitivity of proposed sense
amplifier is improved considerably.
Body Bias method is used for high speed at low
power dissipation operation.
Only 44% more transistors are used to reduce about
87% power dissipation and to get 10% more high
speed operation.
B. Future Scope
However some aspects of the goal have been achieved using
this design, but still a better Sense Amplifier can be build by
some improvement in the circuit design. The SA can be
further extended and modified by the following points.
Delay can be further reduced by improving circuit
design.
Affect of process variations and corner variations
on the performance of the proposed sense
amplifiers are not included. So effects of these
variations are removed by proper design of circuits
and accurate simulations.
Yield measurements can be done
The layout can also be designed using L-Edit of
tanner tool by which area can be calculated for chip
fabrication.
Body Bias Voltage Latch Sense amplifier is
designed for high speed operation.
In this design we use 180 nm technologies but
latest technology 28nm and more can be used for
batter design and analysis.
The layout can also be designed using L-Edit of
tanner tool by which area can be calculated for chip
fabrication
The delay and power dissipation can also reduced
by using low power and high speed techniques like
VTCMOS, DTCMOS, and Adaptive CMOS and
Adiabatic logic technology.
3. A high accuracy, Low power, Reproducible temperature telemetry System
(IJSRD/Vol. 2/Issue 09/2014/071)
All rights reserved by www.ijsrd.com 314
REFERENCES
[1] Yen-Huei Chen, Shao-Yu Chou, Quincy Li, Wei-Min
Chan, Dar Sun, Hung-Jen Liao, Ping Wang, Meng-
Fan Chang, and Hiroyuki Yamauchi, “Compact
measurement Schemes for Bit-Line Swing, Sense
Amplifier Offset Voltage, and Word-Line Pulse
Width to Characterize Sensing Tolerance Margin in a
40 nm Fully Functional Embedded SRAM” , IEEE
Journal Of Solid-State Circuits, Vol. 47,pp.1-12, No.
4, April 2012.
[2] Ravi Dutt and Abhijeet. “Current Mode Sense
Amplifier for SRAM Memory”, International
Journal of Engineering Research & Technology
(IJERT) Vol. 1 Issue 3, pp.1-4, May – 2012.
[3] Maurice Meijer and José Pineda de Gyvez, “Body-
Bias-Driven Design Strategy for Area- and
Performance-Efficient CMOS Circuits”, IEEE
Transactions On Very Large Scale Integration (VLSI)
Systems, Vol. 20, No. 1, pp. 42-51, January 2012.
[4] Vibhu Sharma, Stefan Cosemans, Maryam Ashouei,
Jos Huisken, Francky Catthoor, and Wim Dehaene,
“8T SRAM with Mimicked Negative Bit-Lines and
Charge Limited Sequential Sense Amplifier for
Wireless Sensor Nodes”, IEEE Journal Of Solid-State
Circuits, 978-1-4577-0704-9/10pp. 531-534, 2012.
[5] Sampath Kumar Sanjay Kr Singh, Arti Noor, D. S.
Chauhan and B.K. Kaushik, “Comparative Study
of Different Sense Amplifiers In Submicron CMOS
Technology”, International Journal of Advances in
Engineering & Technology, Vol. 1, Issue 5, pp. 342-
350, Nov 2011.
[6] Myoung Jin Lee, “A Sensing Noise Compensation
Bit Line Sense Amplifier for Low Voltage
Applications”, IEEE Journal of Solid-State Circuits,
Vol. 46, No. 3, pp. 690-694, March 2011.
[7] Anh-Tuan Do, Zhi-Hui Kong, Kiat-Seng Yeo, and
Jeremy Yung Shern, “Design and Sensitivity
Analysis of a New Current-Mode Sense Amplifier for
Low-Power SRAM”, IEEE Transactions on Very
Large Scale Integration (VLSI) Systems, Vol. 19, No.
2, pp. 196-204, February 2011.
[8] Mohammad Sharifkhani, Ehsan Rahiminejad, Shah
M. Jahinuzzaman and Manoj Sachdev, “A Compact
Hybrid Current/Voltage Sense Amplifier with Offset
Cancellation for High-Speed SRAMs”, IEEE
Transactions on Very Large Scale Integration (VLSI)
Systems, Vol. 19, No. 5, pp. 883-894, May 2011.
[9] MasoodQazi, Kevin Stawiasz, Leland Chang and
Anantha P. Chandrakasan, “A 512kb 8T SRAM
Macro Operating Down to 0.57V With an AC-
Coupled Sense Amplifier and Embedded Data-
Retention-Voltage Sensor in 45 nm SOI CMOS”,
IEEE Journal of Solid-State Circuits, Vol. 46, No. 1,
pp. 85-96, January 2011.
[10]Myoung Jin Lee, “A Sensing Noise Compensation
Bit Line Sense Amplifier for Low Voltage
Applications”, IEEE Journal of Solid-State Circuits,
Vol. 46, No. 3, pp. 690-694, March 2011.
[11]Michael Wieckowski, Gregory K. Chen, Daeyeon
Kim, David Blaauw and Dennis Sylvester, “A 128kb
High Density Portless SRAM Using Hierarchical
Bitlines and Thyristor Sense Amplifiers”, IEEE 12th
Int'l Symposium on Quality Electronic Design, pp.
87-90, 2011.
[12]Takashi Ohsawa, Kosuke Hatsuda, Katsuyuki Fujita,
Fumiyoshi Matsuoka, and Tomoki Higashi,
“Generation of Accurate Reference Current for Data
Sensing in High-Density Memories by Averaging
Multiple Pairs of Dummy Cells”, IEEE Journal of
Solid-State Circuits, Vol. 46, No. 9, pp. 2148-2157,
September 2011.
[13]Vibhu Sharma, Stefan Cosemans, Maryam Ashouei,
JosHuisken and Wim Dehaene, “A 4.4 pJ/Access 80
MHz, 128 kbit Variability Resilient SRAM With
Multi-Sized Sense Amplifier Redundancy”, IEEE
Journal of Solid-State Circuits, Vol. 46, No. 10, pp.
2416-2430, October 2011.
[14]Joseph F. Ryan, Sudhanshu Khanna and Benton H,
“An Analytical Model for Performance Yield of
Nano Scale SRAM Accounting for the Sense
Amplifier Strobe Signal”, IEEE International
Symposium on Low Power Electronics and Design
(ISLPED), pp. 297-302, August 2011.
[15]Yusuke Niki, Atsushi Kawasumi, Azuma Suzuki,
Yasuhisa Takeyama, Osamu Hirabayashi, Keiichi
Kushida, Fumihiko Tachibana and Tomoaki Yabe,
“A Digitized Replica Bitline Delay Technique for
Random-Variation-Tolerant Timing Generation of
SRAM Sense Amplifiers”, IEEE Journal of Solid-
State Circuits, Vol. 46, No. 11, pp. 2545-
2551,November 2011.
[16]Vandana Niranjan, Ashwni Kumar and Shail Bala
Jain, “Subthreshold Logic using Body-bias technique
for Digital VLSI neural Applications”, IEEE 2013
International Conference on Machine Intelligence
Research and Advancement, pp. 316-320, December,
2013.
[17]Mohamed H. Abu-Rahma, Ying Chen, Wing Sy, Wee
Ling Ong, Leon Yeow Ting, Sei Seung Yoon,
Michael Han and Esin Terzioglu, “Characterization
of SRAM Sense Amplifier Input Offset for Yield
Prediction in 28nm CMOS”, IEEE Custom Integrated
Circuits Conference (CICC), September 2011.
[18]Labonnah F. Rahman, Md. Syedul Amin M. B. I.
Reaz and M. A. M. Ali, “Circuit of an EEPROM
Sense Amplifier in 0.18 μm CMOS Technology”,
IEEE TENCON 978-1-4577-0255-6/11, pp. 642-645,
2011.
[19]LI Xi, CHEN Hou-Peng and SONG Zhi-Tang,
“Design and analysis of a high-performance sense
amplifier for Phase-Change Memory”, Computer
Research and Development (ICCRD), Vol, 3, pp.
318-321, March 2011.
[20]Oghenekarho Okobiah, Saraju P. Mohanty, Elias
Kougianos and Mahesh Poolakkaparambil, “Towards
Robust Nano-CMOS Sense Amplifier Design: A
Dual-Threshold versus Dual-Oxide Perspective”,
GLSVLSI’11, May 2–4, 2011, Lausanne, Switzerland.
[21]V.Mohana Vidya, R.Thilagavathy and M.Bhaskar,
“Low Power, High Performance Current mode
Transceiver for Network-on-Chip Communication”,
IEEE Proceedings of 2011 International Conference
on Signal Processing, Communication, Computing
4. A high accuracy, Low power, Reproducible temperature telemetry System
(IJSRD/Vol. 2/Issue 09/2014/071)
All rights reserved by www.ijsrd.com 315
and Networking Technologies(ICSCCN), pp. 223-
227, July 2011.
[22]Anh-Tuan Do, Zhi-Hui Kong, and Kiat-Seng Yeo,
“Criterion to Evaluate Input-Offset Voltage of a
Latch-Type Sense Amplifier”, IEEE Transactions on
Circuits and Systems-I: Regular Papers, Vol. 57, No.
1, pp. 83-92, January 2010.
[23]Chua-Chin Wang, Chia-Hao Hsu, Chi-Chun Huang
and Jun-Han Wu, “A Self-Disabled Sensing
Technique for Content-Addressable Memories”,
IEEE Transactions on Circuits and Systems—II:
Express Briefs, Vol. 57, No. 1, pp. 31-35, January
2010.
[24]Shien-Chun Luo and Lih-Yih Chiou, “A Sub-200-mV
Voltage-Scalable SRAM with Tolerance of Access
Failure by Self-Activated Bitline Sensing”, IEEE
Transactions on Circuits and Systems—II: Express
Briefs, Vol. 57, No. 6, pp. 440-445, June 2010.
[25]Mohamed N. Elzeftawi, C. Patrick Yue, and Luke,
“Design Challenges for Sense Amplifier and Wireless
Link in High-Density Neural Recording Implants”,
IEEE International Symposium on VLSI Design
Automation and Test (VLSI-DAT), pp. 61-64, April
2010.
[26]Chia-Tsung Cheng, Yu-Chang Tsai and Kuo-Hsing
Cheng, “A High-Speed Current Mode Sense
Amplifier for Spin-Torque Transfer Magnetic
Random Access Memory”, 53rd IEEE International
Midwest Symposium on Circuits and Systems
(MWSCAS), pp. 181-184, August 2010.
[27]Taejoong Song, Sang Min Lee, Jaehyouk Choi,
Stephen Kim, Gyuhong Kim, Kyutae Lim and Joy
Laskar, “A Robust Latch-Type Sense Amplifier
Using Adaptive Latch Resistance”, IEEE
International Conference on IC Design and
Technology (ICICDT), pp. 182-185, June 2010.
[28]Atsushi Kawasumi, Yasuhisa Takeyama, Osamu
Hirabayashi, Keiichi Kushida, Yuki Fujimura, and
Tomoaki Yabe, “A Low-Supply-Voltage-Operation
SRAM With HCI Trimmed Sense Amplifiers”, IEEE
Journal of Solid-State Circuits, Vol. 45, No. 11, pp.
2341-2347, November 2010.
[29]S.-H. Woo H. Kang K. Park S.-O. Jung, “Offset
voltage estimation model for latch-type sense
amplifiers”, IET Circuits Devices Syst, Vol. 4, Iss. 6,
pp. 503–513, 2010.
[30]Akira Kotabe, Yoshimitsu Yanagawa, Riichiro
Takemura, Tomonori Sekiguchi and Kiyoo Itoh,
“Asymmetric Cross-Coupled Sense Amplifier for
Small-Sized 0.5-V Gigabit-DRAM Arrays”, IEEE
Asian Solid-State Circuits Conference November 8-
10, 2010 / Beijing, China.
[31]Yinhui Chen, Zhiyuan Yu, Haiqing Nan, and Ken
Choi, “Ultralow Power SRAM Design in Near
Threshold Region using 45nm CMOS Technology “,
IEEE International Conference on
Electro/Information Technology (EIT), May 2011.
[32]Syed Iftekhar Ali, M. S. Islam, “A High-Speed and
Low-Power Ternary CAM Design Using Match-Line
Segmentation and Feedback in Sense Amplifiers”,
IEEE 13th International Conference on Computer
and Information Technology, pp. 221-226, 23-25
December, 2010, Dhaka, Bangladesh.
[33]Joseph F. Ryan and Benton H. Calhoun, “Minimizing
Offset for Latching Voltage-Mode Sense Amplifiers
for Sub-threshold Operation”, IEEE, 9th
International Symposium on Quality Electronic
Design, pp. 127-132, 2008.
[34]Haitao Fu, Anh-Tuan Do, Kiat-Seng Yeo and Zhi-
Hui Kong, “Design and Performance Evaluation of a
Low-power Data-line SRAM Sense Amplifier”, IEEE
Proceedings of the 2009 12th International
Symposium on Integrated Circuits, ISIC, December
2009.
[35]Stanley E. Schuster and Richard E. Matick, “Fast
Low Power eDRAM Hierarchical Differential Sense
Amplifier”, IEEE Journal of Solid-State Circuits,
Vol. 44, No. 2, pp. 631-641 February 2009.
[36]Atsushi Kawasumi, Yasuhisa Takeyama, Osamu
Hirabayashi, Keiichi Kushida, Yuki Fujimura and
Tomoaki Yabe, “A Low Supply Voltage Operation
SRAM with HCI trimmed Sense Amplifiers”, IEEE
Asian Solid-State Circuits Conference November, pp.
221-224, 16-18, 2009 / Taipei, Taiwan.
[37]Tao Jiang, Patrick Y. Chiang, “Sense Amplifier
Power and Delay Characterization for Operation
under Low-Vdd and Low-Voltage Clock Swing”,
IEEE International Symposium on Circuits and
Systems, pp. 181-184, May 2009.
[38]Mudit Bhargava, Mark P. McCartney, Alexander
Hoefler, and Ken Mai, “Low-Overhead, Digital
Offset Compensated, SRAM Sense Amplifiers”,
IEEE Custom Integrated Circuits Conference
(CICC), pp. 705-708, 2009.
[39]Zhao Huizhuo and Xu Bingshi, “Design Ofthree
Important Peripheral Circuits of SRAM Based on 9T
Cell”, IEEE, Asia Pacific Conference on
Postgraduate Research in Microelectronics &
Electronics, pp. 337-340, PrimeAsia 2009, January
2009.
[40]Ya-Chun Lai and Shi-Yu Huang, “A Resilient and
Power-Efficient Automatic-Power- Down Sense
Amplifier for SRAM Design”, IEEE Transactions on
Circuits and Systems—II: Express Briefs, Vol. 55,
No. 10, pp. 1031-1035, October 2008.
[41]Anh-Tuan Do, Jeremy Low Yung Shern, Zhi-Hui
Kong, Kiat-Seng Yeo, and Joshua Low Yung Lih, “A
Full Current-mode Sense Amplifier for Low-power
SRAM Applications”, IEEE Asia Pacific
Conference on Circuits and Systems, APCCAS 2008 ,
pp. 1402-1405, Novomber-December,2008.
[42]Do Anh-Tuan, Kong Zhi-Hui, and Yeo Kiat-Seng,
“Hybrid-Mode SRAM Sense Amplifiers: New
Approach on Transistor Sizing”, IEEE Transactions
on Circuits and Systems—II: Express Briefs, Vol. 55,
No. 10, pp. 986-990, October 2008.
[43]Daniël Schinkel, Eisse Mensink, Eric Klumperink, Ed
van Tuijl and Bram Nauta, “A Double-Tail Latch-
Type Voltage Sense Amplifier with 18ps Setup+Hold
Time”, IEEE International Solid-State Circuits
Conference February, pp. 89-94, 2007.
[44]Hee-Bok Kang, Suk-Kyoung Hong, Heon-Yong
Chang, Hae-Chan Park, Nam-Kyun Park, Man Young
5. A high accuracy, Low power, Reproducible temperature telemetry System
(IJSRD/Vol. 2/Issue 09/2014/071)
All rights reserved by www.ijsrd.com 316
Sung, Jin-Hong Ahn, and Sung-Joo Hong, “A Sense
Amplifier Scheme with Offset Cancellation for Giga-
bit DRAM”, Journal Of Semiconductor Technology
and Science, Vol.7, No.2, pp. 67-75, June, 2007.
[45]Chun-Lung HSU, Mean-HomHO and Chin-Feng
LIN, “New Current-Mirror Sense Amplifier Design
for High-Speed SRAM Applications”, IEICE Trans.
Fundamentals, Vol.E89–A, No.2, pp. 377-384,
February 2006.
[46]Manoj Sinha, Steven Hsu, Atila Alvandpour, Wayne
Burleson, Ram Krishnamurthy, Shekhar Borkar,
“High-Performance and Low-Voltage Sense-
Amplifier Techniques for sub-90nm SRAM”,
Proceedings. IEEE International [Systems-on-Chip]
SOC Conference, September 2003.
[47]Tsuguo Kobayashi, KazutakaNogami, Tsukasa
Shirotori, and Yukihiro Fujimoto, “A Current-
Controlled Latch Sense Amplifier and a Static Power-
Saving Input Buffer for Low -Power Architecture”,
IEEE Journal of Solid-State Circuits, Vol. 28, No. 4,
pp. 523-527, April 1993.
Books
[48]Jan M. Rabaey,Anantha P, Chandrakasan, Borivoje
Nikolic, “digitan Intigrated circuits: a design
perspective”, ISBN 978-81-317-0914-6, Pearson
Eduction,Inc,2009.
[49]Neil H.E.Weste, David Haris, Ayan Banerjee,
“CMOS VLSI Design-A Circuits and Systems
Perspective”, Pearson Education, Inc, 2006.
[50]Sumo-Kang, Yusuf Leblebici, “CMOS Digital
Integrated Circuits Analysis and Design”, ISBN 0-07-
053077-7, Tata McGraw-Hill Publishing Company
Limited, 2003.
[51]Behzad Razavi, “Design of Analog CMOS Integrated
Circuits”, ISBN 0-07-052903-5, Tata McGraw-Hill
Publishing Company Limited, 2008.
[52][52] Tegze P. Haraszti, “CMOS Memory
Circuits”, ISBN 0-792-37950-0, Kluwer Academic
Publishers, 2002.
[53]Ben G. Streetman, “Solid State Electronic Devices”,
ISBN 81-203-0692-9, Prentice Hall of India Private
Limited, 1994.
Paper Published
[54]Ratan Lal Regar, Kumkum Verma, Sanjay Kumar
Jaiswal and Dheeraj Jain, “Design and Analysis of
high Speed Body bias control and CLSA Sense
Amplifier”, IEEE, 2013 International Conference on
Machine Intelligence Research and Advancement, pp.
383–386,December,2013,SMVDU, Katra, Jammu,
India.