SlideShare a Scribd company logo
1 of 4
Download to read offline
Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426
NITTTR, Chandigarh EDIT -2015 96
Codec Scheme for Power Optimization in
VLSI Interconnects
1
Dhriti Duggal,2
Rajnish Sharma
1,2
Chitkara University, Himachal Pradesh, India
1
dhriti.duggal@chitkarauniversity.edu.in, 2
rajnish.sharma@chitkarauniversity.edu.in
Abstract— This paper presents a codec scheme for optimizing
power in VLSI Interconnects. It is based on the traditional
bus encoding method which is considered to be one of the
most effective ways of power and delay reduction. The work
done aims at optimizing power by designing the scheme using
Full-Custom design approach. The model has been designed
and implemented using Cadence Virtuoso Analog Design
Suite in 0.18µm CMOS technology. Power has been computed
for different possible combinations of input data. Delay has
been reckoned for the maximum power consuming input
combination. Layout editor has been used to generate the
physical description of the circuit. The 4 bit input data
combination consuming maximum dynamic power of 6.44µW
and propagation delay of 722.7ps is “1000” with previously
transmitted 4 bit data being “0111”. A significant power
reduction of 38.89% has been observed by designing the
scheme using Full-Custom approach as compared to the
conventional Semi-Custom approach of design.
Keywords— Interconnects, Couplings, Power Dissipation,
Layout Implementation.
I. INTRODUCTION
For System on Chip (SOC) and Network on Chip (NOC)
designs in Deep Submicron era, interconnects play an
important role in the overall performance of the chip. They
are used to distribute clock and other signals and to provide
power/ground to and among the various circuits/systems
functions on the chip [1, 2]. Interconnects consume around
44% of the total chip area and hence it becomes very
important to estimate and minimize the power consumed
by them. Coupling Capacitance located between the wire
and its adjacent wires is important to analyze because it
slows down the signal. It can become the major component
of delay if the switching and coupling activities between
the group wires are not minimized. Further it may also lead
to Crosstalk and Signal Integrity related issues, which in
the worst of the cases may lead to the complete circuit
malfunction if not modeled properly [3-6]. There are
various methods to reduce the crosstalk, power
consumption and propagation delay but bus encoding
method is one of the most efficient methods [3]. It reduces
power consumption and crosstalk by bringing reduction in
the switching activity that is by reducing the number of
power consuming voltage transitions experienced by the
output capacitance/clock cycle.
Power consumption sources in digital CMOS circuits are
broadly classified into three main categories: static, short-
circuit and dynamic power dissipation [7]. Dynamic power
dissipation is one of the most dominant sources of power
dissipation in CMOS circuits which cannot be ignored.
Thus, to optimize power in any design successfully,
dynamic power has to be estimated and minimized
separately. The dissipated power is expressed as:
Pdiss = α* VDD
2
* fCLK* CL (1)
Where, CL is the load capacitance, VDD is supply voltage,
fCLK is the clock frequency and α is the average activity
factor or the switching factor whose value lies between 0
and 1. This paper focuses on bus encoding method for
reducing power dissipation of VLSI Interconnects by
reducing the switching activity.
The rest of the paper is organized as follows: Section II
discusses the types of couplings in interconnects. Section
III describes the implemented codec scheme. Results have
been discussed in Section IV and Section V concludes the
paper.
II. COUPLINGS IN INTERCONNECTS
The coupling between groups of three wires is classified
into five types depending upon the nature of transitions of
signals in the wires that are Type-0, Type-1, Type-2, Type-
3 and Type-4 as shown in Table 1 [3-6].
Table I. 3 bit bus couplings
TYPE-0 TYPE-1 TYPE-2 TYPE-3 TYPE-4
˗ ˗ ˗ ˗ ˗↑ ˗ ↑ ˗ ˗ ↑↓ ↑↓↑
↑↑↑ ˗↑↑ ↑↑ ˗ ˗ ↓↑ ↓↑↓
↓↓↓ ↑˗ ˗ ↑ ˗ ↓ ↑↓ ˗
↑↑˗ ↑↑↓ ↓↑ ˗
˗ ˗↓ ↑↓↓
˗↓↓ ˗ ↓ ˗
˗ ˗↓ ↓ ˗ ↓
↓↓ ˗ ↓ ˗ ↑
↓↓↑
↓↑↑
↑: transition from 0 to 1; ↓: transition from 1 to 0; ˗: no transition
Type-0 coupling occurs when all the 3 bit wires undergo
the same transition [1-2].Type-1 coupling occurs when
there is transition in one or maximum two wires (including
the centre one) while the third wire remains quite [1-
2].Type-2 coupling occurs when the centre wire is in the
opposite state transition with one of its adjacent wires
while the other wire undergoes the same state transition as
the centre wire [1-2]. Type-3 coupling occurs when the
centre wire undergoes the opposite state transition with one
of the two wires while the other wires are quite[1-2].Type-
4 coupling occurs when all the three wire transitions in the
opposite state with respect to each other[1-2].
III. IMPLEMENTED CODEC SCHEME
Fig 1 shows the block diagram of the implemented codec
scheme. Transition Detector compares the present 4 bit
input data with the previously transmitted 4 bit data.
Output of the transition detector acts as an input to the
coupling detectors which help in detecting crosstalk
couplings. XOR Stacks are used at both the encoder and
decoder side to transmit and receive data.
Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426
97 NITTTR, Chandigarh EDIT-2015
Fig. 1 Block Diagram of the implemented codec scheme
Layout of different blocks of the implemented codec
scheme are shown in the figures below:
Fig 2 shows the layout of the transition detector which acts
as a comparator and is used to compare the 4 bit present
input data with previously transmitted 4 bit data on the
same data lines. The combination of first four NOT and
AND gates are used to detect ‘low’ to ‘high’ transitions on
the data bus whereas the combination of last four NOT and
AND gates are used to detect ‘high’ to ‘low’ transitions on
the data bus.
Fig. 2 Layout of Transition Detector
8 bit output of the transition detector acts as an input to the
coupling detectors where type-0, type-1, type-2, type-3 and
type-4 coupling detector individually are used to detect the
occurrence of any of the types of type-0, type-1,type-
2,type-3 and type-4 crosstalk couplings. Further it
generates a 1 bit output signal. If any of the output signal is
‘high’ it indicates the occurrence of that particular
crosstalk coupling else not. Fig 3 shows the layout of type-
2 coupling detector which covers the maximum number of
coupling cases as explained in table I. Similar ways,
layouts of all other coupling detectors have been designed.
Fig. 3 Layout of Type-2 Coupling Detector
1 bit output of the individual coupling detectors acts as an
input to the 5 input OR gate which is used to generate the
desired 1 bit control signal as shown in fig 4. If its output
is ‘high’ then the inverted data is sent to the output side
using XOR stack 1 and if its output is ‘low’ then the
original data is sent to the output side using XOR stack 1.
Fig. 4 Layout of 5 Input OR gate
Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426
NITTTR, Chandigarh EDIT -2015 98
Fig 5 shows XOR Stack 1 which is used to transmit the
data to the decoder end according to the implemented logic
and the status of the control signal. A similar type of XOR
stack is used at the output end to decode the received
information depending upon the implemented logic and the
status of the control signal
Fig. 5 Layout of XOR Stack 1
IV. RESULTS AND DISCUSSION
The design has been implemented using Cadence Virtuoso
Analog Design Suite in 0.18µm technology. Virtuoso
layout editor has been used to generate the physical
description of the circuit. Table II highlights the total pre
and post layout power consumption of the implemented
codec scheme individually for all 16 possible combinations
of present and previous data. Power and delay results for
the maximum power consuming present and previous data
are shown in table III.
Table II. Total power results
Present
data
Previous
Data
Pre-layout
total power
consumption
(mW)
Post-layout total
power consumption
(mW)
0000 1111 2.705 3.190
0001 0000 1.521 1.590
0010 0001 1.851 2.072
0011 0010 1.521 1.590
0100 0011 2.542 2.929
0101 0100 1.521 1.590
0110 0101 1.849 2.082
0111 0110 1.519 1.592
1000 0111 2.937 3.508
1001 1000 1.521 1.592
1010 1001 1.849 2.082
1011 1010 1.521 1.592
1100 1011 2.540 2.917
1101 1100 1.521 1.582
1110 1101 1.848 2.074
1111 1110 1.521 1.586
Table III. Power and delay results for the worst combination
when present and previous data is “1000” and “0111”
Pre layout Post layout
Total power (mW) 2.937 3.508
Dynamic power (µW) 5.40 6.44
Total delay (ps) 402.4 722.7
Table IV shows the comparison of present work with
previously done work in terms of power consumption and
propagation delay.
TABLE IV. Comparison of present work with previous work
Parameter [1] Present Work
Power (µW) 10.54 6.44
Propagation Delay
(ps)
296 722.7
There is a significant improvement of 38.89% in power
consumption in the work done as compared to the previous
work. A codec scheme has been presented which focuses
mainly on reducing the switching and coupling activity so
as to reduce the power consumption. Modeling the
complete scheme using Full-Custom design approach has
been the focus point instead of using the traditional Semi-
Custom approach of design. Full-Custom design
methodology gives the liberty to the designer to specify the
layout of each and every transistor and the interconnections
between them. Whereas, in case of Semi-Custom designing
pre defined and pre characterized libraries are used, not
giving the privilege of complete customization to the
designer. Though there has been improvement in power
but increase in delay has been observed in the present work
as previous work focused the research on couplings
associated with either RC or RLC modeled interconnects.
In the present work, stress has been laid upon both of them
equally. The scheme has been designed for all types of
crosstalk couplings rather than focusing on only inductive
or resistive couplings in particular leading to a trade-off
between power and delay.
V. CONCLUSION
Codec Scheme implementation for optimizing power in
VLSI Interconnects has been presented. The pre and post
layout results have been compared. Also the comparison of
the present design has been done with the previously done
work in terms of power and propagation delay and a
significant improvement in dynamic power consumption
has been observed.
REFERENCES
[1]Deepika Agarwal, G. Nagendra Babu, B.K. Kaushik, S.K. Manhas,
“Reduction of Crosstalk in RC Modeled Interconnects with Low
Power Encoder” Emerging Trends in Networks and Computer
Communications (ETNCC),IEEE International Conference, pp. 115-
120, 2011.
[2]G. Nagendra Babu, Deepika Agarwal, B.K. Kaushik, S.K. Manhas,
Brijesh Kumar “Crosstalk avoidance in RLC modelled interconnects
using low encoder,” Recent advances in Intelligent Computational
Systems (RAICS),pp 921-924, 2011.
Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426
99 NITTTR, Chandigarh EDIT-2015
[3]Chih-Peng Fan and Chia-Hao Fang, “Efficient RC Low-power bus
encoding methods for Crosstalk reduction,” Integration VLSI Journal,
Elsevier, vol. 44, no. 1, pp. 75-86, Jan. 2011.
[4]M.R Stan, and W.P. Burleson, “Bus-Invert Coding for Low-power
I/O,” IEEE Trans. On Very Large Scale Integration System, vol.3, no.
1, pp. 49-58, March 1995.
[5]S.K. Verma and B.K. Kaushik, “A Bus Encoding Method for Crosstalk
and Power Reduction in RC Coupled VLSI Interconnects”
International Journal of VLSI design & Communication Systems
(VLSICS) Vol.3, No.2, April 2012, pp.29-39.
[6]S.K. Verma and B.K. Kaushik ,“Crosstalk and Power Reduction Using
Bus Encoding in RC Coupled VLSI Interconnects” Third International
Conference on Emerging Trends in Engineering and Technology
(ICETET), IEEE computer society, November 2010, pp.735-740.
[7]Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic,
“Digital Integrated Circuits,” 2nd
Edition, PrenticeHall Publication,
2003.

More Related Content

What's hot

Multiple Valued Logic for Synthesis and Simulation of Digital Circuits
Multiple Valued Logic for Synthesis and Simulation of Digital CircuitsMultiple Valued Logic for Synthesis and Simulation of Digital Circuits
Multiple Valued Logic for Synthesis and Simulation of Digital CircuitsIJERA Editor
 
VHDL Implementation of Flexible Multiband Divider
VHDL Implementation of Flexible Multiband DividerVHDL Implementation of Flexible Multiband Divider
VHDL Implementation of Flexible Multiband Dividerijsrd.com
 
Optimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm TechnologyOptimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm TechnologyIJEEE
 
Layout Design Implementation of NOR Gate
Layout Design Implementation of NOR Gate Layout Design Implementation of NOR Gate
Layout Design Implementation of NOR Gate IJEEE
 
Multilevel Inverter using SPWM Technique for AC Power Supply
Multilevel Inverter using SPWM Technique for AC Power SupplyMultilevel Inverter using SPWM Technique for AC Power Supply
Multilevel Inverter using SPWM Technique for AC Power SupplyIJERA Editor
 
Development of Distributed Mains Monitoring and Switching System for Indus Co...
Development of Distributed Mains Monitoring and Switching System for Indus Co...Development of Distributed Mains Monitoring and Switching System for Indus Co...
Development of Distributed Mains Monitoring and Switching System for Indus Co...iosrjce
 
Implementation and Controlling of Electrical Appliances by using Bluetooth
Implementation and Controlling of Electrical Appliances by using BluetoothImplementation and Controlling of Electrical Appliances by using Bluetooth
Implementation and Controlling of Electrical Appliances by using BluetoothIRJET Journal
 
Arithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued LogicArithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued LogicVLSICS Design
 
Mixed approach for scheduling process in wimax for high qos
Mixed approach for scheduling process in wimax for high qosMixed approach for scheduling process in wimax for high qos
Mixed approach for scheduling process in wimax for high qoseSAT Journals
 
D041121722
D041121722D041121722
D041121722IOSR-JEN
 
Low Power Full Adder using 9T Structure
Low Power Full Adder using 9T StructureLow Power Full Adder using 9T Structure
Low Power Full Adder using 9T Structureidescitation
 
NARMA-L2 Controller for Five-Area Load Frequency Control
NARMA-L2 Controller for Five-Area Load Frequency ControlNARMA-L2 Controller for Five-Area Load Frequency Control
NARMA-L2 Controller for Five-Area Load Frequency Controlijeei-iaes
 
Performance analysis of cmos comparator and cntfet comparator design
Performance analysis of cmos comparator and cntfet comparator designPerformance analysis of cmos comparator and cntfet comparator design
Performance analysis of cmos comparator and cntfet comparator designeSAT Publishing House
 

What's hot (18)

Multiple Valued Logic for Synthesis and Simulation of Digital Circuits
Multiple Valued Logic for Synthesis and Simulation of Digital CircuitsMultiple Valued Logic for Synthesis and Simulation of Digital Circuits
Multiple Valued Logic for Synthesis and Simulation of Digital Circuits
 
High Speed 8-bit Counters using State Excitation Logic and their Application ...
High Speed 8-bit Counters using State Excitation Logic and their Application ...High Speed 8-bit Counters using State Excitation Logic and their Application ...
High Speed 8-bit Counters using State Excitation Logic and their Application ...
 
VHDL Implementation of Flexible Multiband Divider
VHDL Implementation of Flexible Multiband DividerVHDL Implementation of Flexible Multiband Divider
VHDL Implementation of Flexible Multiband Divider
 
Optimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm TechnologyOptimized Layout Design of Priority Encoder using 65nm Technology
Optimized Layout Design of Priority Encoder using 65nm Technology
 
Layout Design Implementation of NOR Gate
Layout Design Implementation of NOR Gate Layout Design Implementation of NOR Gate
Layout Design Implementation of NOR Gate
 
Multilevel Inverter using SPWM Technique for AC Power Supply
Multilevel Inverter using SPWM Technique for AC Power SupplyMultilevel Inverter using SPWM Technique for AC Power Supply
Multilevel Inverter using SPWM Technique for AC Power Supply
 
Development of Distributed Mains Monitoring and Switching System for Indus Co...
Development of Distributed Mains Monitoring and Switching System for Indus Co...Development of Distributed Mains Monitoring and Switching System for Indus Co...
Development of Distributed Mains Monitoring and Switching System for Indus Co...
 
Implementation and Controlling of Electrical Appliances by using Bluetooth
Implementation and Controlling of Electrical Appliances by using BluetoothImplementation and Controlling of Electrical Appliances by using Bluetooth
Implementation and Controlling of Electrical Appliances by using Bluetooth
 
Arithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued LogicArithmetic Operations in Multi-Valued Logic
Arithmetic Operations in Multi-Valued Logic
 
Instrumentation II : IOE TU
Instrumentation II : IOE TU Instrumentation II : IOE TU
Instrumentation II : IOE TU
 
Ku3518591863
Ku3518591863Ku3518591863
Ku3518591863
 
40620130101003
4062013010100340620130101003
40620130101003
 
Mixed approach for scheduling process in wimax for high qos
Mixed approach for scheduling process in wimax for high qosMixed approach for scheduling process in wimax for high qos
Mixed approach for scheduling process in wimax for high qos
 
D041121722
D041121722D041121722
D041121722
 
Low Power Full Adder using 9T Structure
Low Power Full Adder using 9T StructureLow Power Full Adder using 9T Structure
Low Power Full Adder using 9T Structure
 
J42046469
J42046469J42046469
J42046469
 
NARMA-L2 Controller for Five-Area Load Frequency Control
NARMA-L2 Controller for Five-Area Load Frequency ControlNARMA-L2 Controller for Five-Area Load Frequency Control
NARMA-L2 Controller for Five-Area Load Frequency Control
 
Performance analysis of cmos comparator and cntfet comparator design
Performance analysis of cmos comparator and cntfet comparator designPerformance analysis of cmos comparator and cntfet comparator design
Performance analysis of cmos comparator and cntfet comparator design
 

Similar to Codec Scheme Reduces VLSI Interconnect Power by 38.89

IRJET- Design of ODD-Even Parity Generator using Six Transistors XOR-XNOR Module
IRJET- Design of ODD-Even Parity Generator using Six Transistors XOR-XNOR ModuleIRJET- Design of ODD-Even Parity Generator using Six Transistors XOR-XNOR Module
IRJET- Design of ODD-Even Parity Generator using Six Transistors XOR-XNOR ModuleIRJET Journal
 
Design of Quaternary Logical Circuit Using Voltage and Current Mode Logic
Design of Quaternary Logical Circuit Using Voltage and Current Mode LogicDesign of Quaternary Logical Circuit Using Voltage and Current Mode Logic
Design of Quaternary Logical Circuit Using Voltage and Current Mode LogicVLSICS Design
 
Design and Implementation of Boolean Functions using Multiplexer and also usi...
Design and Implementation of Boolean Functions using Multiplexer and also usi...Design and Implementation of Boolean Functions using Multiplexer and also usi...
Design and Implementation of Boolean Functions using Multiplexer and also usi...IRJET Journal
 
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.Suchitra goudar
 
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIPEditor IJMTER
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...ijcisjournal
 
DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTER
DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTERDESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTER
DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTERcsijjournal
 
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET Journal
 
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIP
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIPMODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIP
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIPVLSICS Design
 
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGN
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGNA COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGN
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGNVLSICS Design
 
A review on glitch reduction techniques
A review on glitch reduction techniquesA review on glitch reduction techniques
A review on glitch reduction techniqueseSAT Publishing House
 
A review on glitch reduction techniques
A review on glitch reduction techniquesA review on glitch reduction techniques
A review on glitch reduction techniqueseSAT Journals
 
Study and implementation of comparator in cmos 50 nm technology
Study and implementation of comparator in cmos 50 nm technologyStudy and implementation of comparator in cmos 50 nm technology
Study and implementation of comparator in cmos 50 nm technologyeSAT Journals
 
Study and implementation of comparator in cmos 50 nm
Study and implementation of comparator in cmos 50 nmStudy and implementation of comparator in cmos 50 nm
Study and implementation of comparator in cmos 50 nmeSAT Publishing House
 
Modeling and simulation of single phase transformer inrush current using neur...
Modeling and simulation of single phase transformer inrush current using neur...Modeling and simulation of single phase transformer inrush current using neur...
Modeling and simulation of single phase transformer inrush current using neur...Alexander Decker
 
An approach to Measure Transition Density of Binary Sequences for X-filling b...
An approach to Measure Transition Density of Binary Sequences for X-filling b...An approach to Measure Transition Density of Binary Sequences for X-filling b...
An approach to Measure Transition Density of Binary Sequences for X-filling b...IJECEIAES
 
Low power 6 transistor latch design for portable devices
Low power 6 transistor latch design for portable devicesLow power 6 transistor latch design for portable devices
Low power 6 transistor latch design for portable devicesAlexander Decker
 
Low power and high performance detff using common feedback inverter logic
Low power and high performance detff using common feedback inverter logic Low power and high performance detff using common feedback inverter logic
Low power and high performance detff using common feedback inverter logic eSAT Journals
 
DESIGN AND IMPLEMENTATION OF ANALOG MULTIPLIER WITH IMPROVED LINEARITY
DESIGN AND IMPLEMENTATION OF ANALOG MULTIPLIER WITH IMPROVED LINEARITY DESIGN AND IMPLEMENTATION OF ANALOG MULTIPLIER WITH IMPROVED LINEARITY
DESIGN AND IMPLEMENTATION OF ANALOG MULTIPLIER WITH IMPROVED LINEARITY VLSICS Design
 

Similar to Codec Scheme Reduces VLSI Interconnect Power by 38.89 (20)

IRJET- Design of ODD-Even Parity Generator using Six Transistors XOR-XNOR Module
IRJET- Design of ODD-Even Parity Generator using Six Transistors XOR-XNOR ModuleIRJET- Design of ODD-Even Parity Generator using Six Transistors XOR-XNOR Module
IRJET- Design of ODD-Even Parity Generator using Six Transistors XOR-XNOR Module
 
Design of Quaternary Logical Circuit Using Voltage and Current Mode Logic
Design of Quaternary Logical Circuit Using Voltage and Current Mode LogicDesign of Quaternary Logical Circuit Using Voltage and Current Mode Logic
Design of Quaternary Logical Circuit Using Voltage and Current Mode Logic
 
Design and Implementation of Boolean Functions using Multiplexer and also usi...
Design and Implementation of Boolean Functions using Multiplexer and also usi...Design and Implementation of Boolean Functions using Multiplexer and also usi...
Design and Implementation of Boolean Functions using Multiplexer and also usi...
 
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.
M.TECH IEEE.Technical seminar paper for Vlsi design and embedded systems.
 
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIPA NEW DATA ENCODER AND DECODER SCHEME FOR  NETWORK ON CHIP
A NEW DATA ENCODER AND DECODER SCHEME FOR NETWORK ON CHIP
 
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...Comparative Performance Analysis of Low Power Full Adder Design in Different ...
Comparative Performance Analysis of Low Power Full Adder Design in Different ...
 
DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTER
DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTERDESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTER
DESIGN AND IMPLEMENTATION OF BIT TRANSITION COUNTER
 
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...IRJET -  	  Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
IRJET - Low Power M-Sequence Code Generator using LFSR for Body Sensor No...
 
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIP
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIPMODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIP
MODELLING AND SIMULATION OF 128-BIT CROSSBAR SWITCH FOR NETWORK -ONCHIP
 
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGN
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGNA COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGN
A COMPARATIVE STUDY OF ULTRA-LOW VOLTAGE DIGITAL CIRCUIT DESIGN
 
A review on glitch reduction techniques
A review on glitch reduction techniquesA review on glitch reduction techniques
A review on glitch reduction techniques
 
A review on glitch reduction techniques
A review on glitch reduction techniquesA review on glitch reduction techniques
A review on glitch reduction techniques
 
Study and implementation of comparator in cmos 50 nm technology
Study and implementation of comparator in cmos 50 nm technologyStudy and implementation of comparator in cmos 50 nm technology
Study and implementation of comparator in cmos 50 nm technology
 
Study and implementation of comparator in cmos 50 nm
Study and implementation of comparator in cmos 50 nmStudy and implementation of comparator in cmos 50 nm
Study and implementation of comparator in cmos 50 nm
 
Modeling and simulation of single phase transformer inrush current using neur...
Modeling and simulation of single phase transformer inrush current using neur...Modeling and simulation of single phase transformer inrush current using neur...
Modeling and simulation of single phase transformer inrush current using neur...
 
An approach to Measure Transition Density of Binary Sequences for X-filling b...
An approach to Measure Transition Density of Binary Sequences for X-filling b...An approach to Measure Transition Density of Binary Sequences for X-filling b...
An approach to Measure Transition Density of Binary Sequences for X-filling b...
 
Low power 6 transistor latch design for portable devices
Low power 6 transistor latch design for portable devicesLow power 6 transistor latch design for portable devices
Low power 6 transistor latch design for portable devices
 
Low power and high performance detff using common feedback inverter logic
Low power and high performance detff using common feedback inverter logic Low power and high performance detff using common feedback inverter logic
Low power and high performance detff using common feedback inverter logic
 
DESIGN AND IMPLEMENTATION OF ANALOG MULTIPLIER WITH IMPROVED LINEARITY
DESIGN AND IMPLEMENTATION OF ANALOG MULTIPLIER WITH IMPROVED LINEARITY DESIGN AND IMPLEMENTATION OF ANALOG MULTIPLIER WITH IMPROVED LINEARITY
DESIGN AND IMPLEMENTATION OF ANALOG MULTIPLIER WITH IMPROVED LINEARITY
 
Ad4103173176
Ad4103173176Ad4103173176
Ad4103173176
 

More from IJEEE

A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...
A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...
A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...IJEEE
 
Implementation of Back-Propagation Neural Network using Scilab and its Conver...
Implementation of Back-Propagation Neural Network using Scilab and its Conver...Implementation of Back-Propagation Neural Network using Scilab and its Conver...
Implementation of Back-Propagation Neural Network using Scilab and its Conver...IJEEE
 
Automated Air Cooled Three Level Inverter system using Arduino
Automated Air Cooled Three Level Inverter system using ArduinoAutomated Air Cooled Three Level Inverter system using Arduino
Automated Air Cooled Three Level Inverter system using ArduinoIJEEE
 
An Approach to Speech and Iris based Multimodal Biometric System
An Approach to Speech and Iris based Multimodal Biometric SystemAn Approach to Speech and Iris based Multimodal Biometric System
An Approach to Speech and Iris based Multimodal Biometric SystemIJEEE
 
An Overview of EDFA Gain Flattening by Using Hybrid Amplifier
An Overview of EDFA Gain Flattening by Using Hybrid AmplifierAn Overview of EDFA Gain Flattening by Using Hybrid Amplifier
An Overview of EDFA Gain Flattening by Using Hybrid AmplifierIJEEE
 
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...IJEEE
 
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...IJEEE
 
Performance Analysis of GSM Network for Different Types of Antennas
Performance Analysis of GSM Network for Different Types of Antennas Performance Analysis of GSM Network for Different Types of Antennas
Performance Analysis of GSM Network for Different Types of Antennas IJEEE
 
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...IJEEE
 
Design Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm TechnologyDesign Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm TechnologyIJEEE
 
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A Review
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A ReviewCarbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A Review
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A ReviewIJEEE
 
Routing Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A SurveyRouting Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A SurveyIJEEE
 
A Survey of Routing Protocols for Structural Health Monitoring
A Survey of Routing Protocols for Structural Health MonitoringA Survey of Routing Protocols for Structural Health Monitoring
A Survey of Routing Protocols for Structural Health MonitoringIJEEE
 
Layout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS TechnologyLayout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS TechnologyIJEEE
 
Design of Planar Inverted F-Antenna for Multiband Applications
Design of Planar Inverted F-Antenna for Multiband Applications Design of Planar Inverted F-Antenna for Multiband Applications
Design of Planar Inverted F-Antenna for Multiband Applications IJEEE
 
Design of CMOS Inverter for Low Power and High Speed using Mentor Graphics
Design of CMOS Inverter for Low Power and High Speed using Mentor GraphicsDesign of CMOS Inverter for Low Power and High Speed using Mentor Graphics
Design of CMOS Inverter for Low Power and High Speed using Mentor GraphicsIJEEE
 
Layout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm TechnologyLayout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm TechnologyIJEEE
 
A Survey on Image Segmentation and its Applications in Image Processing
A Survey on Image Segmentation and its Applications in Image Processing A Survey on Image Segmentation and its Applications in Image Processing
A Survey on Image Segmentation and its Applications in Image Processing IJEEE
 

More from IJEEE (20)

A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...
A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...
A survey on Energy Efficient ProtocolsLEACH, Fuzzy-based approach and Neural ...
 
Implementation of Back-Propagation Neural Network using Scilab and its Conver...
Implementation of Back-Propagation Neural Network using Scilab and its Conver...Implementation of Back-Propagation Neural Network using Scilab and its Conver...
Implementation of Back-Propagation Neural Network using Scilab and its Conver...
 
Automated Air Cooled Three Level Inverter system using Arduino
Automated Air Cooled Three Level Inverter system using ArduinoAutomated Air Cooled Three Level Inverter system using Arduino
Automated Air Cooled Three Level Inverter system using Arduino
 
Id136
Id136Id136
Id136
 
Id135
Id135Id135
Id135
 
An Approach to Speech and Iris based Multimodal Biometric System
An Approach to Speech and Iris based Multimodal Biometric SystemAn Approach to Speech and Iris based Multimodal Biometric System
An Approach to Speech and Iris based Multimodal Biometric System
 
An Overview of EDFA Gain Flattening by Using Hybrid Amplifier
An Overview of EDFA Gain Flattening by Using Hybrid AmplifierAn Overview of EDFA Gain Flattening by Using Hybrid Amplifier
An Overview of EDFA Gain Flattening by Using Hybrid Amplifier
 
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...
Design and Implementation of FPGA Based Low Power Pipelined 64 Bit Risc Proce...
 
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...
Design of Image Segmentation Algorithm for Autonomous Vehicle Navigationusing...
 
Performance Analysis of GSM Network for Different Types of Antennas
Performance Analysis of GSM Network for Different Types of Antennas Performance Analysis of GSM Network for Different Types of Antennas
Performance Analysis of GSM Network for Different Types of Antennas
 
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...
On the Performance Analysis of Composite Multipath/Shadowing (Weibull-Log Nor...
 
Design Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm TechnologyDesign Analysis of Delay Register with PTL Logic using 90 nm Technology
Design Analysis of Delay Register with PTL Logic using 90 nm Technology
 
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A Review
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A ReviewCarbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A Review
Carbon Nanotubes Based Sensor for Detection of Traces of Gas Molecules- A Review
 
Routing Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A SurveyRouting Protocols in Zigbee Based networks: A Survey
Routing Protocols in Zigbee Based networks: A Survey
 
A Survey of Routing Protocols for Structural Health Monitoring
A Survey of Routing Protocols for Structural Health MonitoringA Survey of Routing Protocols for Structural Health Monitoring
A Survey of Routing Protocols for Structural Health Monitoring
 
Layout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS TechnologyLayout Design Analysis of SR Flip Flop using CMOS Technology
Layout Design Analysis of SR Flip Flop using CMOS Technology
 
Design of Planar Inverted F-Antenna for Multiband Applications
Design of Planar Inverted F-Antenna for Multiband Applications Design of Planar Inverted F-Antenna for Multiband Applications
Design of Planar Inverted F-Antenna for Multiband Applications
 
Design of CMOS Inverter for Low Power and High Speed using Mentor Graphics
Design of CMOS Inverter for Low Power and High Speed using Mentor GraphicsDesign of CMOS Inverter for Low Power and High Speed using Mentor Graphics
Design of CMOS Inverter for Low Power and High Speed using Mentor Graphics
 
Layout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm TechnologyLayout Design Analysis of CMOS Comparator using 180nm Technology
Layout Design Analysis of CMOS Comparator using 180nm Technology
 
A Survey on Image Segmentation and its Applications in Image Processing
A Survey on Image Segmentation and its Applications in Image Processing A Survey on Image Segmentation and its Applications in Image Processing
A Survey on Image Segmentation and its Applications in Image Processing
 

Recently uploaded

Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxvipinkmenon1
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZTE
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx959SahilShah
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort servicejennyeacort
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130Suhani Kapoor
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girlsssuser7cb4ff
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxpurnimasatapathy1234
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxbritheesh05
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSKurinjimalarL3
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...VICTOR MAESTRE RAMIREZ
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSCAESB
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacingjaychoudhary37
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learningmisbanausheenparvam
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile servicerehmti665
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionDr.Costas Sachpazis
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escortsranjana rawat
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxwendy cai
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidNikhilNagaraju
 

Recently uploaded (20)

Introduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptxIntroduction to Microprocesso programming and interfacing.pptx
Introduction to Microprocesso programming and interfacing.pptx
 
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
ZXCTN 5804 / ZTE PTN / ZTE POTN / ZTE 5804 PTN / ZTE POTN 5804 ( 100/200 GE Z...
 
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
🔝9953056974🔝!!-YOUNG call girls in Rajendra Nagar Escort rvice Shot 2000 nigh...
 
Application of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptxApplication of Residue Theorem to evaluate real integrations.pptx
Application of Residue Theorem to evaluate real integrations.pptx
 
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort serviceGurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
Gurgaon ✡️9711147426✨Call In girls Gurgaon Sector 51 escort service
 
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
VIP Call Girls Service Hitech City Hyderabad Call +91-8250192130
 
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
★ CALL US 9953330565 ( HOT Young Call Girls In Badarpur delhi NCR
 
Call Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call GirlsCall Girls Narol 7397865700 Independent Call Girls
Call Girls Narol 7397865700 Independent Call Girls
 
Microscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptxMicroscopic Analysis of Ceramic Materials.pptx
Microscopic Analysis of Ceramic Materials.pptx
 
Artificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptxArtificial-Intelligence-in-Electronics (K).pptx
Artificial-Intelligence-in-Electronics (K).pptx
 
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICSAPPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
APPLICATIONS-AC/DC DRIVES-OPERATING CHARACTERISTICS
 
Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...Software and Systems Engineering Standards: Verification and Validation of Sy...
Software and Systems Engineering Standards: Verification and Validation of Sy...
 
GDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentationGDSC ASEB Gen AI study jams presentation
GDSC ASEB Gen AI study jams presentation
 
microprocessor 8085 and its interfacing
microprocessor 8085  and its interfacingmicroprocessor 8085  and its interfacing
microprocessor 8085 and its interfacing
 
chaitra-1.pptx fake news detection using machine learning
chaitra-1.pptx  fake news detection using machine learningchaitra-1.pptx  fake news detection using machine learning
chaitra-1.pptx fake news detection using machine learning
 
Call Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile serviceCall Girls Delhi {Jodhpur} 9711199012 high profile service
Call Girls Delhi {Jodhpur} 9711199012 high profile service
 
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective IntroductionSachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
Sachpazis Costas: Geotechnical Engineering: A student's Perspective Introduction
 
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur EscortsHigh Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
High Profile Call Girls Nagpur Isha Call 7001035870 Meet With Nagpur Escorts
 
What are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptxWhat are the advantages and disadvantages of membrane structures.pptx
What are the advantages and disadvantages of membrane structures.pptx
 
main PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfidmain PPT.pptx of girls hostel security using rfid
main PPT.pptx of girls hostel security using rfid
 

Codec Scheme Reduces VLSI Interconnect Power by 38.89

  • 1. Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426 NITTTR, Chandigarh EDIT -2015 96 Codec Scheme for Power Optimization in VLSI Interconnects 1 Dhriti Duggal,2 Rajnish Sharma 1,2 Chitkara University, Himachal Pradesh, India 1 dhriti.duggal@chitkarauniversity.edu.in, 2 rajnish.sharma@chitkarauniversity.edu.in Abstract— This paper presents a codec scheme for optimizing power in VLSI Interconnects. It is based on the traditional bus encoding method which is considered to be one of the most effective ways of power and delay reduction. The work done aims at optimizing power by designing the scheme using Full-Custom design approach. The model has been designed and implemented using Cadence Virtuoso Analog Design Suite in 0.18µm CMOS technology. Power has been computed for different possible combinations of input data. Delay has been reckoned for the maximum power consuming input combination. Layout editor has been used to generate the physical description of the circuit. The 4 bit input data combination consuming maximum dynamic power of 6.44µW and propagation delay of 722.7ps is “1000” with previously transmitted 4 bit data being “0111”. A significant power reduction of 38.89% has been observed by designing the scheme using Full-Custom approach as compared to the conventional Semi-Custom approach of design. Keywords— Interconnects, Couplings, Power Dissipation, Layout Implementation. I. INTRODUCTION For System on Chip (SOC) and Network on Chip (NOC) designs in Deep Submicron era, interconnects play an important role in the overall performance of the chip. They are used to distribute clock and other signals and to provide power/ground to and among the various circuits/systems functions on the chip [1, 2]. Interconnects consume around 44% of the total chip area and hence it becomes very important to estimate and minimize the power consumed by them. Coupling Capacitance located between the wire and its adjacent wires is important to analyze because it slows down the signal. It can become the major component of delay if the switching and coupling activities between the group wires are not minimized. Further it may also lead to Crosstalk and Signal Integrity related issues, which in the worst of the cases may lead to the complete circuit malfunction if not modeled properly [3-6]. There are various methods to reduce the crosstalk, power consumption and propagation delay but bus encoding method is one of the most efficient methods [3]. It reduces power consumption and crosstalk by bringing reduction in the switching activity that is by reducing the number of power consuming voltage transitions experienced by the output capacitance/clock cycle. Power consumption sources in digital CMOS circuits are broadly classified into three main categories: static, short- circuit and dynamic power dissipation [7]. Dynamic power dissipation is one of the most dominant sources of power dissipation in CMOS circuits which cannot be ignored. Thus, to optimize power in any design successfully, dynamic power has to be estimated and minimized separately. The dissipated power is expressed as: Pdiss = α* VDD 2 * fCLK* CL (1) Where, CL is the load capacitance, VDD is supply voltage, fCLK is the clock frequency and α is the average activity factor or the switching factor whose value lies between 0 and 1. This paper focuses on bus encoding method for reducing power dissipation of VLSI Interconnects by reducing the switching activity. The rest of the paper is organized as follows: Section II discusses the types of couplings in interconnects. Section III describes the implemented codec scheme. Results have been discussed in Section IV and Section V concludes the paper. II. COUPLINGS IN INTERCONNECTS The coupling between groups of three wires is classified into five types depending upon the nature of transitions of signals in the wires that are Type-0, Type-1, Type-2, Type- 3 and Type-4 as shown in Table 1 [3-6]. Table I. 3 bit bus couplings TYPE-0 TYPE-1 TYPE-2 TYPE-3 TYPE-4 ˗ ˗ ˗ ˗ ˗↑ ˗ ↑ ˗ ˗ ↑↓ ↑↓↑ ↑↑↑ ˗↑↑ ↑↑ ˗ ˗ ↓↑ ↓↑↓ ↓↓↓ ↑˗ ˗ ↑ ˗ ↓ ↑↓ ˗ ↑↑˗ ↑↑↓ ↓↑ ˗ ˗ ˗↓ ↑↓↓ ˗↓↓ ˗ ↓ ˗ ˗ ˗↓ ↓ ˗ ↓ ↓↓ ˗ ↓ ˗ ↑ ↓↓↑ ↓↑↑ ↑: transition from 0 to 1; ↓: transition from 1 to 0; ˗: no transition Type-0 coupling occurs when all the 3 bit wires undergo the same transition [1-2].Type-1 coupling occurs when there is transition in one or maximum two wires (including the centre one) while the third wire remains quite [1- 2].Type-2 coupling occurs when the centre wire is in the opposite state transition with one of its adjacent wires while the other wire undergoes the same state transition as the centre wire [1-2]. Type-3 coupling occurs when the centre wire undergoes the opposite state transition with one of the two wires while the other wires are quite[1-2].Type- 4 coupling occurs when all the three wire transitions in the opposite state with respect to each other[1-2]. III. IMPLEMENTED CODEC SCHEME Fig 1 shows the block diagram of the implemented codec scheme. Transition Detector compares the present 4 bit input data with the previously transmitted 4 bit data. Output of the transition detector acts as an input to the coupling detectors which help in detecting crosstalk couplings. XOR Stacks are used at both the encoder and decoder side to transmit and receive data.
  • 2. Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426 97 NITTTR, Chandigarh EDIT-2015 Fig. 1 Block Diagram of the implemented codec scheme Layout of different blocks of the implemented codec scheme are shown in the figures below: Fig 2 shows the layout of the transition detector which acts as a comparator and is used to compare the 4 bit present input data with previously transmitted 4 bit data on the same data lines. The combination of first four NOT and AND gates are used to detect ‘low’ to ‘high’ transitions on the data bus whereas the combination of last four NOT and AND gates are used to detect ‘high’ to ‘low’ transitions on the data bus. Fig. 2 Layout of Transition Detector 8 bit output of the transition detector acts as an input to the coupling detectors where type-0, type-1, type-2, type-3 and type-4 coupling detector individually are used to detect the occurrence of any of the types of type-0, type-1,type- 2,type-3 and type-4 crosstalk couplings. Further it generates a 1 bit output signal. If any of the output signal is ‘high’ it indicates the occurrence of that particular crosstalk coupling else not. Fig 3 shows the layout of type- 2 coupling detector which covers the maximum number of coupling cases as explained in table I. Similar ways, layouts of all other coupling detectors have been designed. Fig. 3 Layout of Type-2 Coupling Detector 1 bit output of the individual coupling detectors acts as an input to the 5 input OR gate which is used to generate the desired 1 bit control signal as shown in fig 4. If its output is ‘high’ then the inverted data is sent to the output side using XOR stack 1 and if its output is ‘low’ then the original data is sent to the output side using XOR stack 1. Fig. 4 Layout of 5 Input OR gate
  • 3. Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426 NITTTR, Chandigarh EDIT -2015 98 Fig 5 shows XOR Stack 1 which is used to transmit the data to the decoder end according to the implemented logic and the status of the control signal. A similar type of XOR stack is used at the output end to decode the received information depending upon the implemented logic and the status of the control signal Fig. 5 Layout of XOR Stack 1 IV. RESULTS AND DISCUSSION The design has been implemented using Cadence Virtuoso Analog Design Suite in 0.18µm technology. Virtuoso layout editor has been used to generate the physical description of the circuit. Table II highlights the total pre and post layout power consumption of the implemented codec scheme individually for all 16 possible combinations of present and previous data. Power and delay results for the maximum power consuming present and previous data are shown in table III. Table II. Total power results Present data Previous Data Pre-layout total power consumption (mW) Post-layout total power consumption (mW) 0000 1111 2.705 3.190 0001 0000 1.521 1.590 0010 0001 1.851 2.072 0011 0010 1.521 1.590 0100 0011 2.542 2.929 0101 0100 1.521 1.590 0110 0101 1.849 2.082 0111 0110 1.519 1.592 1000 0111 2.937 3.508 1001 1000 1.521 1.592 1010 1001 1.849 2.082 1011 1010 1.521 1.592 1100 1011 2.540 2.917 1101 1100 1.521 1.582 1110 1101 1.848 2.074 1111 1110 1.521 1.586 Table III. Power and delay results for the worst combination when present and previous data is “1000” and “0111” Pre layout Post layout Total power (mW) 2.937 3.508 Dynamic power (µW) 5.40 6.44 Total delay (ps) 402.4 722.7 Table IV shows the comparison of present work with previously done work in terms of power consumption and propagation delay. TABLE IV. Comparison of present work with previous work Parameter [1] Present Work Power (µW) 10.54 6.44 Propagation Delay (ps) 296 722.7 There is a significant improvement of 38.89% in power consumption in the work done as compared to the previous work. A codec scheme has been presented which focuses mainly on reducing the switching and coupling activity so as to reduce the power consumption. Modeling the complete scheme using Full-Custom design approach has been the focus point instead of using the traditional Semi- Custom approach of design. Full-Custom design methodology gives the liberty to the designer to specify the layout of each and every transistor and the interconnections between them. Whereas, in case of Semi-Custom designing pre defined and pre characterized libraries are used, not giving the privilege of complete customization to the designer. Though there has been improvement in power but increase in delay has been observed in the present work as previous work focused the research on couplings associated with either RC or RLC modeled interconnects. In the present work, stress has been laid upon both of them equally. The scheme has been designed for all types of crosstalk couplings rather than focusing on only inductive or resistive couplings in particular leading to a trade-off between power and delay. V. CONCLUSION Codec Scheme implementation for optimizing power in VLSI Interconnects has been presented. The pre and post layout results have been compared. Also the comparison of the present design has been done with the previously done work in terms of power and propagation delay and a significant improvement in dynamic power consumption has been observed. REFERENCES [1]Deepika Agarwal, G. Nagendra Babu, B.K. Kaushik, S.K. Manhas, “Reduction of Crosstalk in RC Modeled Interconnects with Low Power Encoder” Emerging Trends in Networks and Computer Communications (ETNCC),IEEE International Conference, pp. 115- 120, 2011. [2]G. Nagendra Babu, Deepika Agarwal, B.K. Kaushik, S.K. Manhas, Brijesh Kumar “Crosstalk avoidance in RLC modelled interconnects using low encoder,” Recent advances in Intelligent Computational Systems (RAICS),pp 921-924, 2011.
  • 4. Int. Journal of Electrical & Electronics Engg. Vol. 2, Spl. Issue 1 (2015) e-ISSN: 1694-2310 | p-ISSN: 1694-2426 99 NITTTR, Chandigarh EDIT-2015 [3]Chih-Peng Fan and Chia-Hao Fang, “Efficient RC Low-power bus encoding methods for Crosstalk reduction,” Integration VLSI Journal, Elsevier, vol. 44, no. 1, pp. 75-86, Jan. 2011. [4]M.R Stan, and W.P. Burleson, “Bus-Invert Coding for Low-power I/O,” IEEE Trans. On Very Large Scale Integration System, vol.3, no. 1, pp. 49-58, March 1995. [5]S.K. Verma and B.K. Kaushik, “A Bus Encoding Method for Crosstalk and Power Reduction in RC Coupled VLSI Interconnects” International Journal of VLSI design & Communication Systems (VLSICS) Vol.3, No.2, April 2012, pp.29-39. [6]S.K. Verma and B.K. Kaushik ,“Crosstalk and Power Reduction Using Bus Encoding in RC Coupled VLSI Interconnects” Third International Conference on Emerging Trends in Engineering and Technology (ICETET), IEEE computer society, November 2010, pp.735-740. [7]Jan M. Rabaey, Anantha Chandrakasan, and Borivoje Nikolic, “Digital Integrated Circuits,” 2nd Edition, PrenticeHall Publication, 2003.