SlideShare a Scribd company logo
1 of 1
Download to read offline
Zhenyu Xu
13 North Coleman Rd, Centereach, NY11720, 631-800-6008, zhenyu.xu@stonybrook.edu
Objective
Candidate with comprehensive experience in Digital Design Research pursuing a full-time job in ASIC/FPGA design or verification
Education
December 2015 MS Electrical and Computer Engineering, Stony Brook University, NY, 3.91/4.0
 Courses: Advanced Digital System Design and Generation, Computer Architecture, Advanced VLSI System Design, Hardware-
Software Co-Design of Embedded Systems, Advanced VLSI System Testing, Nanoscale Integrated Circuit Design, Digital Signal
Processing, Integrated Electronic Devices and Circuits (in progress)
July 2014 B.E. Integrated circuit design and integrated system, Tianjin University, Tianjin, China, 85/100
 Courses: Verilog, C++ and Data Structure, Digital IC, FPGA, EDA, SoC, VLSI Interconnect, Mixed-signal Circuit, IC Layout
 Graduation Thesis: Digital Circuits Pipelining Based on Logic-level Analysis (Excellent graduation thesis top 10%)
Skills
 Deep understanding in ASIC, SoC, FPGA logic/physical design, verification, testing and high-level synthesis
 In-depth knowledge of computer architecture, operating system, memory and cache structure
 3+ years experiences in Verilog, 1+ year for SystemVerilog, knowledge of SystemC
 Programming languages: 3+ years experiences in C/C++, knowing assembly language, java and Python
 EDA tools: Pspice, Hspice, Cadence Virtuoso, Modelsim, Quartus, ISE, Vivado, Synopsis Design Suite, Matlab
 In-depth understanding of transistors, analog and mixed signal circuits design, semiconductor properties and manufacture techniques
Academic Projects
Research Assistant in CEWIT (Automatic Hardware Generation & Optimization Lab) Jan. 2015—Present
 Used Xilinx Zedboard to generate a digital system which aims at accelerating k-mer counting in DNA
 Designed an out-of-order two level cache-structured kmer counter with AXI interface, which can do DMA access and adjust itself to
several gigabytes of kmer data and sort them efficiently
 Generated the system in Vivado and using debugging tool and checked the data in memory to test it
Research Assistant in Tianjin University (Hardware EDA Lab) March. 2013—May. 2014
 Did some research on the structure of FPGA, especially optimizing the efficiency and security of AES on FPGA
 Implemented AES on image encryption/decryption and using Xilinx Chipscope during on board debugging
 My research papers are published by ICFPT and IET Computers & Digital Techniques
Selected Course Projects
Dual-issue multimedia processor architecture Feb. 2015—April. 2015
 Designing a dual-issue multimedia processor with floating point unit which has the same pipeline stages as CELL SPU to support
SIMD functionality using Verilog, which detect data hazard, structure hazard and control hazard
 Using python to design a parser of the processor, which transfers assembly code into binary code
 Successfully simulating the processor with some sets of assembly code including branch and hazard controlling
Hardware generator for a multi-stage pipelined ALU Nov.2014
 Designed a hardware generator in C that can automatically generates SystemVerilog code of pipelined ALU as well as testbench
based on user’s requirement
 Used C code to test the correctness of the generated code and testbench
Full-custom 8-bit processor design Dec. 2014
 Devised a full-custom 8-bit single cycle processor with adder, shifter, MUX, latches, SRAM and control unit for both schematic and
layout in Cadence Virtuoso and verified it with several instructions
 Minimized the area and power consumption and increased clock frequency for post-layout simulation
PODEM ATPG April. 2015—May. 2015
 Used C++ to construct PODEM algorithm, an automatic test pattern generation (ATPG) tool
 Implemented different techniques to optimize the algorithm, such as event driven simulation, calculating SCOAP metrics, etc
 After evaluating the optimized version, the speed up is more than 15X comparing to the original algorithm
Interaction sound recording badge Oct. 2014—Dec. 2014
 Built a system with microphone front end circuit, PGA, ADC, LPF and UART module on PSoC1
 Implemented Maximum likelihood algorithm to detect the angle of arrival of the sound source in C
 Implemented FFT and frequency analysis to realize voice recognition in C, also simulated in Matlab
Clock distribution network design May. 2015
 Devised a clock distribution network with strict constraints on clock frequency, clock skew, and clock slew in Cadence Virtuoso
 Minimized the power of the network
Publications
 A 66.1 Gbps Throughput Single-pipeline AES on FPGA
 High Throughput and Secure AES on FPGA with Fine Pipelining and Enhanced Key Expansion
Honors & Awards
 “Merit Student” Scholarship, Tianjin University 2012

More Related Content

What's hot

What's hot (19)

Lavina Chandwani Resume
Lavina Chandwani ResumeLavina Chandwani Resume
Lavina Chandwani Resume
 
SagarMShivaram_Embedded Systems
SagarMShivaram_Embedded SystemsSagarMShivaram_Embedded Systems
SagarMShivaram_Embedded Systems
 
Gayathri_Physical_Design_Intel
Gayathri_Physical_Design_IntelGayathri_Physical_Design_Intel
Gayathri_Physical_Design_Intel
 
Resume srishail upadhye
Resume srishail upadhyeResume srishail upadhye
Resume srishail upadhye
 
murali-resume
murali-resumemurali-resume
murali-resume
 
Darren Jiao_resume
Darren Jiao_resumeDarren Jiao_resume
Darren Jiao_resume
 
Suraj R -resume
Suraj R -resumeSuraj R -resume
Suraj R -resume
 
BFunsten_Resume
BFunsten_ResumeBFunsten_Resume
BFunsten_Resume
 
Rajath_Shivananda
Rajath_ShivanandaRajath_Shivananda
Rajath_Shivananda
 
ApoorvJoshi_Resume
ApoorvJoshi_ResumeApoorvJoshi_Resume
ApoorvJoshi_Resume
 
Spandana potineni resume
Spandana potineni resumeSpandana potineni resume
Spandana potineni resume
 
New_resume_v2
New_resume_v2New_resume_v2
New_resume_v2
 
PARTH DESAI RESUME
PARTH DESAI RESUMEPARTH DESAI RESUME
PARTH DESAI RESUME
 
ResumeThomasV9
ResumeThomasV9ResumeThomasV9
ResumeThomasV9
 
Resume_Aney N Khatavkar
Resume_Aney N KhatavkarResume_Aney N Khatavkar
Resume_Aney N Khatavkar
 
Design Verification Engineer
Design Verification EngineerDesign Verification Engineer
Design Verification Engineer
 
Kiran Kumar Basavaraju_resume_TI_FT
Kiran Kumar Basavaraju_resume_TI_FTKiran Kumar Basavaraju_resume_TI_FT
Kiran Kumar Basavaraju_resume_TI_FT
 
Himanshu_Somaiya_Resume
Himanshu_Somaiya_ResumeHimanshu_Somaiya_Resume
Himanshu_Somaiya_Resume
 
Naveen Narasimhaiah Resume
Naveen Narasimhaiah ResumeNaveen Narasimhaiah Resume
Naveen Narasimhaiah Resume
 

Viewers also liked

TAIWAN BARBER Resume
TAIWAN BARBER ResumeTAIWAN BARBER Resume
TAIWAN BARBER ResumeTaiwan Barber
 
Natasha Maldonado Resume 28Oct15
Natasha Maldonado Resume 28Oct15Natasha Maldonado Resume 28Oct15
Natasha Maldonado Resume 28Oct15natasha maldonado
 
LESLEE G 2011 RESUME JLG Final
LESLEE G 2011 RESUME JLG FinalLESLEE G 2011 RESUME JLG Final
LESLEE G 2011 RESUME JLG FinalLeslee Gross
 
Taija Aguirre Resume 2011
Taija Aguirre Resume 2011Taija Aguirre Resume 2011
Taija Aguirre Resume 2011taijaa
 
Louis Holt Bs Resume 2011
Louis Holt Bs Resume 2011Louis Holt Bs Resume 2011
Louis Holt Bs Resume 2011LouHoltII
 
Trabajo unidad numero 1 cibercultura Mi vocabulario
Trabajo unidad numero 1 cibercultura Mi vocabularioTrabajo unidad numero 1 cibercultura Mi vocabulario
Trabajo unidad numero 1 cibercultura Mi vocabularioLida Girlesa Herrera Franco
 
2011 resume b_peterson
2011 resume b_peterson2011 resume b_peterson
2011 resume b_petersonBreePete
 
Jason Barber - Resume
Jason Barber - ResumeJason Barber - Resume
Jason Barber - ResumeJason Barber
 
M Barber Business Resume 2012
M Barber Business Resume 2012M Barber Business Resume 2012
M Barber Business Resume 2012mbsproductions
 
2011 Resume Loren K Schwappach
2011 Resume Loren K Schwappach2011 Resume Loren K Schwappach
2011 Resume Loren K SchwappachLoren Schwappach
 
PAUL TRUDEAU resume 2011
PAUL TRUDEAU resume 2011PAUL TRUDEAU resume 2011
PAUL TRUDEAU resume 2011Paul Trudeau
 
A Romanos Resume 2011
A Romanos Resume 2011A Romanos Resume 2011
A Romanos Resume 2011amromanos
 
barber resume (1) (1)
barber resume (1) (1)barber resume (1) (1)
barber resume (1) (1)Leon Barker
 
Ciara Lovik's Resume 2011
Ciara Lovik's Resume 2011Ciara Lovik's Resume 2011
Ciara Lovik's Resume 2011cjlovik
 

Viewers also liked (18)

Resume 2011
Resume 2011Resume 2011
Resume 2011
 
TAIWAN BARBER Resume
TAIWAN BARBER ResumeTAIWAN BARBER Resume
TAIWAN BARBER Resume
 
Natasha Maldonado Resume 28Oct15
Natasha Maldonado Resume 28Oct15Natasha Maldonado Resume 28Oct15
Natasha Maldonado Resume 28Oct15
 
LESLEE G 2011 RESUME JLG Final
LESLEE G 2011 RESUME JLG FinalLESLEE G 2011 RESUME JLG Final
LESLEE G 2011 RESUME JLG Final
 
Taija Aguirre Resume 2011
Taija Aguirre Resume 2011Taija Aguirre Resume 2011
Taija Aguirre Resume 2011
 
Lauren Brownlee Resume
Lauren Brownlee ResumeLauren Brownlee Resume
Lauren Brownlee Resume
 
Louis Holt Bs Resume 2011
Louis Holt Bs Resume 2011Louis Holt Bs Resume 2011
Louis Holt Bs Resume 2011
 
Trabajo unidad numero 1 cibercultura Mi vocabulario
Trabajo unidad numero 1 cibercultura Mi vocabularioTrabajo unidad numero 1 cibercultura Mi vocabulario
Trabajo unidad numero 1 cibercultura Mi vocabulario
 
2011 resume b_peterson
2011 resume b_peterson2011 resume b_peterson
2011 resume b_peterson
 
Jason Barber - Resume
Jason Barber - ResumeJason Barber - Resume
Jason Barber - Resume
 
M Barber Business Resume 2012
M Barber Business Resume 2012M Barber Business Resume 2012
M Barber Business Resume 2012
 
T Berlinski Resume 2011
T Berlinski Resume 2011T Berlinski Resume 2011
T Berlinski Resume 2011
 
2011 Resume Loren K Schwappach
2011 Resume Loren K Schwappach2011 Resume Loren K Schwappach
2011 Resume Loren K Schwappach
 
PAUL TRUDEAU resume 2011
PAUL TRUDEAU resume 2011PAUL TRUDEAU resume 2011
PAUL TRUDEAU resume 2011
 
A Romanos Resume 2011
A Romanos Resume 2011A Romanos Resume 2011
A Romanos Resume 2011
 
Barber,C. Resume
Barber,C. ResumeBarber,C. Resume
Barber,C. Resume
 
barber resume (1) (1)
barber resume (1) (1)barber resume (1) (1)
barber resume (1) (1)
 
Ciara Lovik's Resume 2011
Ciara Lovik's Resume 2011Ciara Lovik's Resume 2011
Ciara Lovik's Resume 2011
 

Similar to Resume of Zhenyu Xu (20)

Resume
ResumeResume
Resume
 
Hao hsiang ma resume
Hao hsiang ma resumeHao hsiang ma resume
Hao hsiang ma resume
 
Sai Dheeraj_Resume
Sai Dheeraj_ResumeSai Dheeraj_Resume
Sai Dheeraj_Resume
 
Varun Gatne - Resume - Final
Varun Gatne - Resume - FinalVarun Gatne - Resume - Final
Varun Gatne - Resume - Final
 
Resume
ResumeResume
Resume
 
Resume_Zikang Zhang
Resume_Zikang ZhangResume_Zikang Zhang
Resume_Zikang Zhang
 
Resume_Gautham
Resume_GauthamResume_Gautham
Resume_Gautham
 
Prashant Soman resume
Prashant Soman resumePrashant Soman resume
Prashant Soman resume
 
Shivani_Saklani
Shivani_SaklaniShivani_Saklani
Shivani_Saklani
 
Embedded_Resume
Embedded_ResumeEmbedded_Resume
Embedded_Resume
 
PhilipSamDavisResume
PhilipSamDavisResumePhilipSamDavisResume
PhilipSamDavisResume
 
Kunyuan Wang_CV
Kunyuan Wang_CVKunyuan Wang_CV
Kunyuan Wang_CV
 
Shantanu's Resume
Shantanu's ResumeShantanu's Resume
Shantanu's Resume
 
CV_Akhil Ranga
CV_Akhil RangaCV_Akhil Ranga
CV_Akhil Ranga
 
Resume
ResumeResume
Resume
 
Resume
ResumeResume
Resume
 
MANOJ_H_RAO_Resume
MANOJ_H_RAO_ResumeMANOJ_H_RAO_Resume
MANOJ_H_RAO_Resume
 
Blake Xu Resume
Blake Xu ResumeBlake Xu Resume
Blake Xu Resume
 
Yu_Wang_SDE_DEC
Yu_Wang_SDE_DECYu_Wang_SDE_DEC
Yu_Wang_SDE_DEC
 
Resume General
Resume GeneralResume General
Resume General
 

Resume of Zhenyu Xu

  • 1. Zhenyu Xu 13 North Coleman Rd, Centereach, NY11720, 631-800-6008, zhenyu.xu@stonybrook.edu Objective Candidate with comprehensive experience in Digital Design Research pursuing a full-time job in ASIC/FPGA design or verification Education December 2015 MS Electrical and Computer Engineering, Stony Brook University, NY, 3.91/4.0  Courses: Advanced Digital System Design and Generation, Computer Architecture, Advanced VLSI System Design, Hardware- Software Co-Design of Embedded Systems, Advanced VLSI System Testing, Nanoscale Integrated Circuit Design, Digital Signal Processing, Integrated Electronic Devices and Circuits (in progress) July 2014 B.E. Integrated circuit design and integrated system, Tianjin University, Tianjin, China, 85/100  Courses: Verilog, C++ and Data Structure, Digital IC, FPGA, EDA, SoC, VLSI Interconnect, Mixed-signal Circuit, IC Layout  Graduation Thesis: Digital Circuits Pipelining Based on Logic-level Analysis (Excellent graduation thesis top 10%) Skills  Deep understanding in ASIC, SoC, FPGA logic/physical design, verification, testing and high-level synthesis  In-depth knowledge of computer architecture, operating system, memory and cache structure  3+ years experiences in Verilog, 1+ year for SystemVerilog, knowledge of SystemC  Programming languages: 3+ years experiences in C/C++, knowing assembly language, java and Python  EDA tools: Pspice, Hspice, Cadence Virtuoso, Modelsim, Quartus, ISE, Vivado, Synopsis Design Suite, Matlab  In-depth understanding of transistors, analog and mixed signal circuits design, semiconductor properties and manufacture techniques Academic Projects Research Assistant in CEWIT (Automatic Hardware Generation & Optimization Lab) Jan. 2015—Present  Used Xilinx Zedboard to generate a digital system which aims at accelerating k-mer counting in DNA  Designed an out-of-order two level cache-structured kmer counter with AXI interface, which can do DMA access and adjust itself to several gigabytes of kmer data and sort them efficiently  Generated the system in Vivado and using debugging tool and checked the data in memory to test it Research Assistant in Tianjin University (Hardware EDA Lab) March. 2013—May. 2014  Did some research on the structure of FPGA, especially optimizing the efficiency and security of AES on FPGA  Implemented AES on image encryption/decryption and using Xilinx Chipscope during on board debugging  My research papers are published by ICFPT and IET Computers & Digital Techniques Selected Course Projects Dual-issue multimedia processor architecture Feb. 2015—April. 2015  Designing a dual-issue multimedia processor with floating point unit which has the same pipeline stages as CELL SPU to support SIMD functionality using Verilog, which detect data hazard, structure hazard and control hazard  Using python to design a parser of the processor, which transfers assembly code into binary code  Successfully simulating the processor with some sets of assembly code including branch and hazard controlling Hardware generator for a multi-stage pipelined ALU Nov.2014  Designed a hardware generator in C that can automatically generates SystemVerilog code of pipelined ALU as well as testbench based on user’s requirement  Used C code to test the correctness of the generated code and testbench Full-custom 8-bit processor design Dec. 2014  Devised a full-custom 8-bit single cycle processor with adder, shifter, MUX, latches, SRAM and control unit for both schematic and layout in Cadence Virtuoso and verified it with several instructions  Minimized the area and power consumption and increased clock frequency for post-layout simulation PODEM ATPG April. 2015—May. 2015  Used C++ to construct PODEM algorithm, an automatic test pattern generation (ATPG) tool  Implemented different techniques to optimize the algorithm, such as event driven simulation, calculating SCOAP metrics, etc  After evaluating the optimized version, the speed up is more than 15X comparing to the original algorithm Interaction sound recording badge Oct. 2014—Dec. 2014  Built a system with microphone front end circuit, PGA, ADC, LPF and UART module on PSoC1  Implemented Maximum likelihood algorithm to detect the angle of arrival of the sound source in C  Implemented FFT and frequency analysis to realize voice recognition in C, also simulated in Matlab Clock distribution network design May. 2015  Devised a clock distribution network with strict constraints on clock frequency, clock skew, and clock slew in Cadence Virtuoso  Minimized the power of the network Publications  A 66.1 Gbps Throughput Single-pipeline AES on FPGA  High Throughput and Secure AES on FPGA with Fine Pipelining and Enhanced Key Expansion Honors & Awards  “Merit Student” Scholarship, Tianjin University 2012