SlideShare a Scribd company logo
Himanshu Gome
M.R.A PG,Bommanahalli,Bangalore(India)
Email id : himanshu.gome@gmail.com
Contact No. : - +918103990282
CAREER OBJECTIVE
“To work in a challenging environment using all my skills and efforts to explore in different fields
and seek an opportunity for continuous learning.”
BASIC ACADEMIC CREDENTIALS
Qualification Board/University Year Percentage
P G Diploma in VLSI
&
Embedded
Hardware Design
National Institute of electronics
and information technology
(NIT Calicut)
2016 71 %
B.E (Electronic and
Communication )
Ujjain Engineering College Ujjain
(M.P)
2015 6.3 CGPA
Intermediate Model Higher Secondary School 2010 62%
BASIC KNOWLEDGE OF ROBOTICS
 Participated in international Robotics Challenge Zonal Workshop TechFest-2013 Bombay
 Attended Raspberry pi workshop in N.I.E.L.I.T College (Calicut)
TECHNICAL SKILLS
 HDL Languages: VHDL,Verilog,System Verilog(RTL Verification).
 ASIC Physical Design Using Cadence Encounter tool RTL to GDSII.
 ASIC Physical Design Using Magic VLSI Layout tool (180nm, 90nm, 45nm, 20nm)
 Software Languages: C, Embedded-C
 FPGA design.
TOOL AND LIBRARIES
 Modelsim, Questa Sim, Cadence Tools, Magic VLSI Layout tool, Orcad 9.2 , Xilinx ISE, Altera,
Arduino, .
TRANING
 MINOR - UHF TV and AIR FM transmitter PRASAR BHARTI INDORE {15 Days}
 MAJOR - Railway Telecommunication Equipments & Railway Telecommunication
WESTEN-RAILWAY RATLAM DIVISION {30 Days}
ACADMIC PROJECTS
 Environment monitoring System(Mini project in B.E)
Programming Language : Embedded-C
Tool : Arduino-1.6.9,Orcad tool
The aim of this project is calculate a moisture and how much harmful gases,soil and water are
present in the environment. Monitoring system monitor percentage of gases.
 RFID based attendance System(Major Project in B.E)
Programming Language : Embedded-C
Tool : Arduino-1.6.9,Orcad tool
The aim of this project is to make a note of every employee entering the office and also to calculate
the amount of time person resides in the office using RFID and BARCODE.
 Tele Remote using mobile(Mini project in PGD)
Programming Language : Embedded-C
Tool : Keil mu5-Vision,Orcad tool
The main objective of project is to control the home appliances by a mobile phone that makes a call
to the mobile phone attached to the ARM Cortex-M3 STMF103RB board through MT8870 DTMF
decoder at home.
 Implementation and Test of Real Time Clock on FPGA Development Board(Mini project in
PGD)
Programming Language : Verilog
Tool : Quartus-II
First of all, I Implement the Real time clock using verilog hardware description language and then I
tested the design into the Altera DE2 FPGA Development board. I used Quartus-II tools for testing.
 Verification of I2C Master core using SystemVerilog / Physical Design (Major project in PGD)
Programming Language : SystemVerilog
Tool : Cadence,Cadence(Encounter)
System verilog which support the complexities of the soc design.The RTL design of I2C is obtained
from opencores.org and its functional verification is carried by self, using system verilog completely
wrap the DUT.The whole verification. I have done using system verilog hardware description and
verification language, simulated on cadence tool. I have created the verification environment in this
project. I got 91.3% functional coverage.
ACHIEVEMENTS & PARTICIPATION
 Secured 1st position in Dance Competition at college level-2012
 Secured 1st position in Dance Competition at college level-2013
 Secured 1st position in Dance Competition at college level-2014
 Best Dancer Award in UEC college-2015
STRENGTHS
 Quick Learner, Dedicated, Team Worker.
PERSONAL DETAILS
 Date of Birth :- 28th
May 1990
 Language Known :- English & Hindi
 Marital Status :- Single
 Interest & Hobbies :- Dance, Photography.
DECLARATION:
I do here by declare that the above information is true to the best of my knowledge.
Place: Bangalore Himanshu Gome
Date: (Signature)

More Related Content

What's hot

Chandra_CV 3 8Yr Exp
Chandra_CV 3 8Yr Exp Chandra_CV 3 8Yr Exp
Chandra_CV 3 8Yr Exp
Chandrashekar Murthy c n
 
Resume_Shivendra_Dubey (1)
Resume_Shivendra_Dubey (1)Resume_Shivendra_Dubey (1)
Resume_Shivendra_Dubey (1)
Shivendra Dubey
 
Yugandhar_01
Yugandhar_01Yugandhar_01
Yugandhar_01
yugandhar54321
 
Surya resume
Surya resumeSurya resume
Surya resume
surya prasad
 
Gaurav Resume
Gaurav ResumeGaurav Resume
Gaurav Resume
Gaurav Panwar
 
Updated resume
Updated resumeUpdated resume
Updated resume
Shantanu Telharkar
 
RajResume
RajResumeRajResume
RajResume
RAJ PRAJAPATI
 
Kannan_Resume
Kannan_ResumeKannan_Resume
Kannan_Resume
Kannan Mahalingam
 
Resume_Apple1
Resume_Apple1Resume_Apple1
Resume_Apple1
Harshita Shankar
 
Resume
ResumeResume
Deviprasad_Profile
Deviprasad_ProfileDeviprasad_Profile
Deviprasad_Profile
deviprasad shetty
 
CV_Swapnil_Deshmukh
CV_Swapnil_DeshmukhCV_Swapnil_Deshmukh
CV_Swapnil_Deshmukh
Swapnil Deshmukh
 
Rajkumar reddy Kommidi Resume
Rajkumar reddy Kommidi ResumeRajkumar reddy Kommidi Resume
Rajkumar reddy Kommidi Resume
Rajkumar Reddy
 
Curriculum_Vitae_lavanya_doc
Curriculum_Vitae_lavanya_docCurriculum_Vitae_lavanya_doc
Curriculum_Vitae_lavanya_doc
lavanya jonnadula
 
ResumeLinkedIn
ResumeLinkedInResumeLinkedIn
ResumeLinkedIn
Harshita Shankar
 
Mukund madhusudan atre_resume
Mukund madhusudan atre_resumeMukund madhusudan atre_resume
Mukund madhusudan atre_resume
Mukund Madhusudan Atre
 
Vikas Resume CGPA New Font2
Vikas Resume CGPA New Font2Vikas Resume CGPA New Font2
Vikas Resume CGPA New Font2
Vikas Yazala
 
resume
resumeresume
resume
Pawan Pareek
 
Automation _C#.Net_4.2yrs
Automation _C#.Net_4.2yrsAutomation _C#.Net_4.2yrs
Automation _C#.Net_4.2yrs
arunkumar hm
 
DishitJoshi_CV
DishitJoshi_CVDishitJoshi_CV
DishitJoshi_CV
Dishit Joshi
 

What's hot (20)

Chandra_CV 3 8Yr Exp
Chandra_CV 3 8Yr Exp Chandra_CV 3 8Yr Exp
Chandra_CV 3 8Yr Exp
 
Resume_Shivendra_Dubey (1)
Resume_Shivendra_Dubey (1)Resume_Shivendra_Dubey (1)
Resume_Shivendra_Dubey (1)
 
Yugandhar_01
Yugandhar_01Yugandhar_01
Yugandhar_01
 
Surya resume
Surya resumeSurya resume
Surya resume
 
Gaurav Resume
Gaurav ResumeGaurav Resume
Gaurav Resume
 
Updated resume
Updated resumeUpdated resume
Updated resume
 
RajResume
RajResumeRajResume
RajResume
 
Kannan_Resume
Kannan_ResumeKannan_Resume
Kannan_Resume
 
Resume_Apple1
Resume_Apple1Resume_Apple1
Resume_Apple1
 
Resume
ResumeResume
Resume
 
Deviprasad_Profile
Deviprasad_ProfileDeviprasad_Profile
Deviprasad_Profile
 
CV_Swapnil_Deshmukh
CV_Swapnil_DeshmukhCV_Swapnil_Deshmukh
CV_Swapnil_Deshmukh
 
Rajkumar reddy Kommidi Resume
Rajkumar reddy Kommidi ResumeRajkumar reddy Kommidi Resume
Rajkumar reddy Kommidi Resume
 
Curriculum_Vitae_lavanya_doc
Curriculum_Vitae_lavanya_docCurriculum_Vitae_lavanya_doc
Curriculum_Vitae_lavanya_doc
 
ResumeLinkedIn
ResumeLinkedInResumeLinkedIn
ResumeLinkedIn
 
Mukund madhusudan atre_resume
Mukund madhusudan atre_resumeMukund madhusudan atre_resume
Mukund madhusudan atre_resume
 
Vikas Resume CGPA New Font2
Vikas Resume CGPA New Font2Vikas Resume CGPA New Font2
Vikas Resume CGPA New Font2
 
resume
resumeresume
resume
 
Automation _C#.Net_4.2yrs
Automation _C#.Net_4.2yrsAutomation _C#.Net_4.2yrs
Automation _C#.Net_4.2yrs
 
DishitJoshi_CV
DishitJoshi_CVDishitJoshi_CV
DishitJoshi_CV
 

Viewers also liked

SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 TutorialSystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
Amiq Consulting
 
Apb Work
Apb WorkApb Work
Apb Work
lorraineforsyth
 
Har 3 bmp verification
Har 3 bmp verificationHar 3 bmp verification
Har 3 bmp verification
UW Discovery Farms
 
Design and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB BridgeDesign and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB Bridge
Manu BN
 
VLSI based final year project topics and ideas
VLSI based final year project topics and ideas VLSI based final year project topics and ideas
VLSI based final year project topics and ideas
Nxtlogic Software Solutions
 
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010
FPGA Central
 
Asset Verification & Tagging of Clinical Departments
Asset Verification & Tagging of Clinical DepartmentsAsset Verification & Tagging of Clinical Departments
Asset Verification & Tagging of Clinical Departments
Angela Kaul
 
VLSI Design Final Project - 32 bit ALU
VLSI Design Final Project - 32 bit ALUVLSI Design Final Project - 32 bit ALU
VLSI Design Final Project - 32 bit ALU
Sachin Kumar Asokan
 
System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
Nirav Desai
 
ieee projects list
ieee projects listieee projects list
ieee projects list
8130809758
 
global wireless e-voting
global wireless e-votingglobal wireless e-voting
global wireless e-voting
sahiba javid
 
Global wireless e voting powerpoint presentation
Global wireless e voting powerpoint presentationGlobal wireless e voting powerpoint presentation
Global wireless e voting powerpoint presentation
Prateeksha Singh
 
GLOBAL WIRELESS E-VOTING FULL REPORT FOR SEMINAR
GLOBAL WIRELESS E-VOTING FULL REPORT FOR SEMINARGLOBAL WIRELESS E-VOTING FULL REPORT FOR SEMINAR
GLOBAL WIRELESS E-VOTING FULL REPORT FOR SEMINAR
JSPM's JSCOE , Pune Maharashtra.
 
Global wireless e-voting
Global wireless e-votingGlobal wireless e-voting
Global wireless e-voting
ashujain55
 
GLOBAL WIRELESS E-VOTING (JAYESH J CUSAT)
GLOBAL WIRELESS E-VOTING (JAYESH J    CUSAT)GLOBAL WIRELESS E-VOTING (JAYESH J    CUSAT)
GLOBAL WIRELESS E-VOTING (JAYESH J CUSAT)
The federation of lazy jobless people (FLJP)
 
Global wireless e voting
Global wireless  e votingGlobal wireless  e voting
Global wireless e voting
vitam,berhampur
 
Global wireless e voting system
Global wireless e voting systemGlobal wireless e voting system
Global wireless e voting system
Abhishek Abhi
 
Vinod_CV
Vinod_CVVinod_CV
Vinod_CV
vinod p
 
Amba bus
Amba busAmba bus
Amba bus
rohitlinux
 
State of the Word 2011
State of the Word 2011State of the Word 2011
State of the Word 2011
photomatt
 

Viewers also liked (20)

SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 TutorialSystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
SystemVerilog Assertions verification with SVAUnit - DVCon US 2016 Tutorial
 
Apb Work
Apb WorkApb Work
Apb Work
 
Har 3 bmp verification
Har 3 bmp verificationHar 3 bmp verification
Har 3 bmp verification
 
Design and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB BridgeDesign and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB Bridge
 
VLSI based final year project topics and ideas
VLSI based final year project topics and ideas VLSI based final year project topics and ideas
VLSI based final year project topics and ideas
 
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010
Upgrading to SystemVerilog for FPGA Designs - FPGA Camp Bangalore, 2010
 
Asset Verification & Tagging of Clinical Departments
Asset Verification & Tagging of Clinical DepartmentsAsset Verification & Tagging of Clinical Departments
Asset Verification & Tagging of Clinical Departments
 
VLSI Design Final Project - 32 bit ALU
VLSI Design Final Project - 32 bit ALUVLSI Design Final Project - 32 bit ALU
VLSI Design Final Project - 32 bit ALU
 
System verilog verification building blocks
System verilog verification building blocksSystem verilog verification building blocks
System verilog verification building blocks
 
ieee projects list
ieee projects listieee projects list
ieee projects list
 
global wireless e-voting
global wireless e-votingglobal wireless e-voting
global wireless e-voting
 
Global wireless e voting powerpoint presentation
Global wireless e voting powerpoint presentationGlobal wireless e voting powerpoint presentation
Global wireless e voting powerpoint presentation
 
GLOBAL WIRELESS E-VOTING FULL REPORT FOR SEMINAR
GLOBAL WIRELESS E-VOTING FULL REPORT FOR SEMINARGLOBAL WIRELESS E-VOTING FULL REPORT FOR SEMINAR
GLOBAL WIRELESS E-VOTING FULL REPORT FOR SEMINAR
 
Global wireless e-voting
Global wireless e-votingGlobal wireless e-voting
Global wireless e-voting
 
GLOBAL WIRELESS E-VOTING (JAYESH J CUSAT)
GLOBAL WIRELESS E-VOTING (JAYESH J    CUSAT)GLOBAL WIRELESS E-VOTING (JAYESH J    CUSAT)
GLOBAL WIRELESS E-VOTING (JAYESH J CUSAT)
 
Global wireless e voting
Global wireless  e votingGlobal wireless  e voting
Global wireless e voting
 
Global wireless e voting system
Global wireless e voting systemGlobal wireless e voting system
Global wireless e voting system
 
Vinod_CV
Vinod_CVVinod_CV
Vinod_CV
 
Amba bus
Amba busAmba bus
Amba bus
 
State of the Word 2011
State of the Word 2011State of the Word 2011
State of the Word 2011
 

Similar to VLSI

Daya_CV
Daya_CVDaya_CV
Daya_CV
Daya Shankar
 
Deepak Kumar Gautam
Deepak Kumar GautamDeepak Kumar Gautam
Deepak Kumar Gautam
Deepak Gautam
 
Ratan_cv_pdf
Ratan_cv_pdfRatan_cv_pdf
Ratan_cv_pdf
Ratan Lal
 
V mounika cv
V mounika cvV mounika cv
V mounika cv
Mounika Vajrala
 
Resume_DarshanShah_MTech_Embedded_Systems
Resume_DarshanShah_MTech_Embedded_SystemsResume_DarshanShah_MTech_Embedded_Systems
Resume_DarshanShah_MTech_Embedded_Systems
Darshan Shah
 
VAIBHAV M GANATRA
VAIBHAV M GANATRAVAIBHAV M GANATRA
VAIBHAV M GANATRA
vaibhav ganatra
 
Manmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQL
Manmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQLManmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQL
Manmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQL
Manmeet Singh Sidhu
 
_SOMANATH_
_SOMANATH__SOMANATH_
Rachit_HMI_Development_resume
Rachit_HMI_Development_resumeRachit_HMI_Development_resume
Rachit_HMI_Development_resume
Rachit Kushwaha
 
akshay new-1(1)-2
akshay new-1(1)-2akshay new-1(1)-2
akshay new-1(1)-2
Akshay Jadhav
 
updated
updatedupdated
updated
Anil Dogra
 
Debasish sahu embedded software engineer 2 years
Debasish sahu embedded software engineer 2 years Debasish sahu embedded software engineer 2 years
Debasish sahu embedded software engineer 2 years
Debasish Sahu
 
Antony Lenat Raja S Resume
Antony Lenat Raja S ResumeAntony Lenat Raja S Resume
Antony Lenat Raja S Resume
Antony Lenat Raja
 
Naveen Ravi - 1 year resume v2.0
Naveen Ravi - 1 year resume v2.0 Naveen Ravi - 1 year resume v2.0
Naveen Ravi - 1 year resume v2.0
Naveen Ravi
 
Resume_Parthpatel
Resume_ParthpatelResume_Parthpatel
Resume_Parthpatel
Parth Patel
 
Shantanu telharkar july 2015
Shantanu telharkar  july 2015Shantanu telharkar  july 2015
Shantanu telharkar july 2015
Shantanu Telharkar
 
Raju_Biswas_Resume
Raju_Biswas_ResumeRaju_Biswas_Resume
Raju_Biswas_Resume
Raju Biswas
 
vishal new resume
vishal new resumevishal new resume
vishal new resume
pruthvi raj
 
priyasinghresume2
priyasinghresume2priyasinghresume2
priyasinghresume2
priya singh
 
MANUAL TEST ENGINEER
MANUAL TEST ENGINEERMANUAL TEST ENGINEER
MANUAL TEST ENGINEER
sharanling majge
 

Similar to VLSI (20)

Daya_CV
Daya_CVDaya_CV
Daya_CV
 
Deepak Kumar Gautam
Deepak Kumar GautamDeepak Kumar Gautam
Deepak Kumar Gautam
 
Ratan_cv_pdf
Ratan_cv_pdfRatan_cv_pdf
Ratan_cv_pdf
 
V mounika cv
V mounika cvV mounika cv
V mounika cv
 
Resume_DarshanShah_MTech_Embedded_Systems
Resume_DarshanShah_MTech_Embedded_SystemsResume_DarshanShah_MTech_Embedded_Systems
Resume_DarshanShah_MTech_Embedded_Systems
 
VAIBHAV M GANATRA
VAIBHAV M GANATRAVAIBHAV M GANATRA
VAIBHAV M GANATRA
 
Manmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQL
Manmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQLManmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQL
Manmeet_8 mon_Embedded_Circuit designing_Mannul Testing_SQL
 
_SOMANATH_
_SOMANATH__SOMANATH_
_SOMANATH_
 
Rachit_HMI_Development_resume
Rachit_HMI_Development_resumeRachit_HMI_Development_resume
Rachit_HMI_Development_resume
 
akshay new-1(1)-2
akshay new-1(1)-2akshay new-1(1)-2
akshay new-1(1)-2
 
updated
updatedupdated
updated
 
Debasish sahu embedded software engineer 2 years
Debasish sahu embedded software engineer 2 years Debasish sahu embedded software engineer 2 years
Debasish sahu embedded software engineer 2 years
 
Antony Lenat Raja S Resume
Antony Lenat Raja S ResumeAntony Lenat Raja S Resume
Antony Lenat Raja S Resume
 
Naveen Ravi - 1 year resume v2.0
Naveen Ravi - 1 year resume v2.0 Naveen Ravi - 1 year resume v2.0
Naveen Ravi - 1 year resume v2.0
 
Resume_Parthpatel
Resume_ParthpatelResume_Parthpatel
Resume_Parthpatel
 
Shantanu telharkar july 2015
Shantanu telharkar  july 2015Shantanu telharkar  july 2015
Shantanu telharkar july 2015
 
Raju_Biswas_Resume
Raju_Biswas_ResumeRaju_Biswas_Resume
Raju_Biswas_Resume
 
vishal new resume
vishal new resumevishal new resume
vishal new resume
 
priyasinghresume2
priyasinghresume2priyasinghresume2
priyasinghresume2
 
MANUAL TEST ENGINEER
MANUAL TEST ENGINEERMANUAL TEST ENGINEER
MANUAL TEST ENGINEER
 

VLSI

  • 1. Himanshu Gome M.R.A PG,Bommanahalli,Bangalore(India) Email id : himanshu.gome@gmail.com Contact No. : - +918103990282 CAREER OBJECTIVE “To work in a challenging environment using all my skills and efforts to explore in different fields and seek an opportunity for continuous learning.” BASIC ACADEMIC CREDENTIALS Qualification Board/University Year Percentage P G Diploma in VLSI & Embedded Hardware Design National Institute of electronics and information technology (NIT Calicut) 2016 71 % B.E (Electronic and Communication ) Ujjain Engineering College Ujjain (M.P) 2015 6.3 CGPA Intermediate Model Higher Secondary School 2010 62% BASIC KNOWLEDGE OF ROBOTICS  Participated in international Robotics Challenge Zonal Workshop TechFest-2013 Bombay  Attended Raspberry pi workshop in N.I.E.L.I.T College (Calicut) TECHNICAL SKILLS  HDL Languages: VHDL,Verilog,System Verilog(RTL Verification).  ASIC Physical Design Using Cadence Encounter tool RTL to GDSII.  ASIC Physical Design Using Magic VLSI Layout tool (180nm, 90nm, 45nm, 20nm)  Software Languages: C, Embedded-C  FPGA design. TOOL AND LIBRARIES  Modelsim, Questa Sim, Cadence Tools, Magic VLSI Layout tool, Orcad 9.2 , Xilinx ISE, Altera, Arduino, . TRANING  MINOR - UHF TV and AIR FM transmitter PRASAR BHARTI INDORE {15 Days}  MAJOR - Railway Telecommunication Equipments & Railway Telecommunication WESTEN-RAILWAY RATLAM DIVISION {30 Days} ACADMIC PROJECTS  Environment monitoring System(Mini project in B.E) Programming Language : Embedded-C Tool : Arduino-1.6.9,Orcad tool The aim of this project is calculate a moisture and how much harmful gases,soil and water are present in the environment. Monitoring system monitor percentage of gases.
  • 2.  RFID based attendance System(Major Project in B.E) Programming Language : Embedded-C Tool : Arduino-1.6.9,Orcad tool The aim of this project is to make a note of every employee entering the office and also to calculate the amount of time person resides in the office using RFID and BARCODE.  Tele Remote using mobile(Mini project in PGD) Programming Language : Embedded-C Tool : Keil mu5-Vision,Orcad tool The main objective of project is to control the home appliances by a mobile phone that makes a call to the mobile phone attached to the ARM Cortex-M3 STMF103RB board through MT8870 DTMF decoder at home.  Implementation and Test of Real Time Clock on FPGA Development Board(Mini project in PGD) Programming Language : Verilog Tool : Quartus-II First of all, I Implement the Real time clock using verilog hardware description language and then I tested the design into the Altera DE2 FPGA Development board. I used Quartus-II tools for testing.  Verification of I2C Master core using SystemVerilog / Physical Design (Major project in PGD) Programming Language : SystemVerilog Tool : Cadence,Cadence(Encounter) System verilog which support the complexities of the soc design.The RTL design of I2C is obtained from opencores.org and its functional verification is carried by self, using system verilog completely wrap the DUT.The whole verification. I have done using system verilog hardware description and verification language, simulated on cadence tool. I have created the verification environment in this project. I got 91.3% functional coverage. ACHIEVEMENTS & PARTICIPATION  Secured 1st position in Dance Competition at college level-2012  Secured 1st position in Dance Competition at college level-2013  Secured 1st position in Dance Competition at college level-2014  Best Dancer Award in UEC college-2015 STRENGTHS  Quick Learner, Dedicated, Team Worker. PERSONAL DETAILS  Date of Birth :- 28th May 1990  Language Known :- English & Hindi  Marital Status :- Single  Interest & Hobbies :- Dance, Photography. DECLARATION: I do here by declare that the above information is true to the best of my knowledge. Place: Bangalore Himanshu Gome Date: (Signature)