SlideShare a Scribd company logo
VHDL
Dr. Vandana Malode
1Source : open source free internet
Content
• VLSI Design flow
• Level of abstraction
• Types of HDL
• Compare VHDL and Verilog
2Source : open source free internet
3Source : open source free internet
Simulation Vs Synthesis
4
Front End Procedure Back end procedure
Correctness of program(code) Concentration on Chip Design
Functionality of Description
Apply I/P o/p
Ex. Full Adder (2HA+1OR )
Not check
Power,Delay,Performance,Size
Size,Power,Delay , performance
Tools: Modelsim, Questasim,
Front end Mentor graphics
Tools: Xilinx , cadence, Synopsis,
Backend Mentor graphics
Source : open source free internet
Logic Simulation
• A simulator interprets the HDL description and produces a
readable output, such as a timing diagram, that predicts
how the hardware will behave before its is actually
fabricated.
• Simulation allows the detection of functional errors in a
design without having to physically create the circuit.
5Source : open source free internet
Motivation
• The benefits of integrating analogue circuitry with digital
logic include:
• Higher performance
• Lower power consumption
• Less mass
• Reduced costs
• Improved reliability
• Greater levels of reusability
• Enhanced system testing and quality
• All of these benefits contribute to the spirit of “Faster,
Better, Cheaper”!
• All of these offer the potential to advance mixed-signal
microelectronics for space applications
6Source : open source free internet
View by Chip Technology
7
ARTIX7
KINTEX7
VIRTEX7
ZYNQ
SPARTAN-6
Source : open source free internet
Concept of module or chip
8Source : open source free internet
9
Levels of Abstraction
1970 1980 1990 2000+
Abstraction
Circuit Level
Gate Level
RTL
RT Level
(Module)
RTL SW
System Level
Source : open source free internet
10Source : open source free internet
Types of VHDL
• VHDL : VHSIC –HDL
VHSIC: Very High Speed Integrated Circuit- Hardware Description
Language.
It is a Hardware Description Language used in electronic design
automation to describe digital and mixed-signal systems.
VHDL is a description and simulation language like ada , panda, pascal
• Verilog HDL: Verilog Hardware Description Language.(like ‘C’
language)
HDL: Designed by IBM, Texas Instruments, and Intermetrics for
united states Air force .VHSIC program launched in 1980.
Standardized by the IEEE in 1987: IEEE 1076-1987
• TOOLS: Xilinx, Atmel, Altera, Mentor Graphics, Cadence
11Source : open source free internet
Compare VHDL and VERILOG
12
VHDL VERILOG
Entity, Multiple architecture
description
Only Module Declaration
Ada based constructs , not case
sensitive language
C language, case-sensitive
Harder to learn and use Easy to learn and use , Fast simulation
VHDL is strongly type language Verilog is weakly type language
User-defined, physical, enumeration
or pointer types is available and
required 9-valued logic
User-defined, physical, enumeration
or pointer types is not available and
required 4-valued logic
Very good FPGA library support. Better availability of ASIC library
support
Gate level , data flow and Behavioral
modeling
Gate level , data flow and Behavioral
modeling
Source : open source free internet
So Choose language (HDL) based on Trend and area of work
13Source : open source free internet
IEEE STANDARD 1164
To use standard logic data types place at top of source file
– LIBRARY ieee; -- library
– USE ieee.std_logic_1164.ALL; -- package
• Provides a standard data type
(std_logic) in VHDL - nine values
– U unitialized(Default value)
– ‘X’ strong drive , unknown logic
value
– ‘0’ strong drive, logic 0
– ‘1’ forcing, logic 1
– Z high impedance ( For tri-state
logic)
– ‘W’ weak unknown logic value
– ‘L’ weak drive, logic 0
– ‘H’ weak drive, logic 1
– ‘-’ don’t care
• Provides a standard data type
(std_logic) in Verilog - Four
values
– X forcing unknown
– 0 forcing logic 0
– 1 forcing logic 1
– Z high impedance
14Source : open source free internet
Entity
• The entity defines the external view of the component. It provides
system’s interface specification as seen from the outside.
• PORTS are the communication links between entities or connections to
the device pins
Syntax For Entity
entity EntityName is
port (port list Input and output);
end EntityName;
 Note the use of libraries before entity description
Libray ieee;
USE ieee.std_logic_1164.all;
ENTITY andgate IS
PORT (a, b : IN std_logic;
y : OUT std_logic);
END andgate;
15Source : open source free internet
Architecture
• The Architecture defines the function or behavior or structure of
the ENTITY
• Consists of
– concurrent statements
– Sequential statements
• An entity may have several architectures Multiple Architecture
Syntax For Architecture
Architecture ArchitectureName of EntityName is
begin
-- signal declarations
-- concurrent statements
end ArchitectureName;
16
Entity
A B C
Architecture behavior OF andgate is
Begin
y <= a and b;
END behavior;
Source : open source free internet
Complete VHDL Code for AND Gate
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
Entity andgate is
Port (a, b : IN std_logic;
y : OUT std_logic);
End andgate;
Architecture behavior OF andgate is
Begin
Y<= a and b;
End behaviour;
• Architecture behavior END behavior;
17Source : open source free internet
Entity and Architecture
Example: a 2-input multiplexer
18Source : open source free internet
Data objects
19
Elements
Identifiers
Data
objects
Constants
(Global)
Signals
(Global)
Variables
(Local)
Data types
Objects are used to represent and store the data in the system being described in VHDL.
Example- SIGNAL COUNT: INTEGER
Class
Object
Data Type
Source : open source free internet
CLASS: Each object belong to one of following class:
 Constant: Hold values that cannot be changed within a
design.
Syntax: Constant constant name: Data Type := Value;
Example: 1] constant width: integer :=8;
2] constant Clk_Period: Time := 15 ns;
Continue 20
• Constant
• Signals
• Variables
Source : open source free internet
CLASS
• Variable: A variable is an object with single value.
• Values can be change during simulation through the variable
assignment statements.
• A variable declaration include one or more identifiers.
• Variable used in Process not in architecture.
• Internal representation used by programmers; do not exist
physically.
• Syntax: variable identifier_list: type [range_expr] [:=expression];
Example: 1] variable A,B: Std_logic;
2] Variable sum : std_logic-vector( 0 to 7);
21
Variable name
Source : open source free internet
CLASS
 Signal: To represent wire connections within circuit.
Signal is an object within past history of values.
Signal have multiple drivers each with a current value and
projected future value. Signal Describing H/W sytsem.
Syntax: Signal identifier_list: type [range_expr] [:=expression];
Example: 1] signal count: STD_LOGIC_VECTOR (3 downto 0);
2] signal Gate-Delay: Time:=10ns;
-- count means 4 wires; they are count(3),count(2), count(1), count(0).
22Source : open source free internet

More Related Content

What's hot

How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
Eutectics
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
Ravi Sony
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
Yaser Kalifa
 
VHDL
VHDLVHDL
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
SSE_AndyLi
 
Lecture3 combinational blocks
Lecture3 combinational blocksLecture3 combinational blocks
Lecture3 combinational blocks
Nima Shafiee
 
Vhdl basic unit-2
Vhdl basic unit-2Vhdl basic unit-2
Vhdl basic unit-2
Uvaraj Shanmugam
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
Rohit Chintu
 
Lecture1
Lecture1Lecture1
Lecture1
karim_ibrahim
 
VHDL CODES
VHDL CODES VHDL CODES
VHDL CODES
OmkarDarekar6
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
Abhilash Nair
 
vhdl
vhdlvhdl
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
Abhilash Nair
 
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x AdditionsVHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
Amal Khailtash
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
Paul Laskowski
 
Vhdl programming
Vhdl programmingVhdl programming
Vhdl programming
Yogesh Mashalkar
 
Introduction to-vhdl
Introduction to-vhdlIntroduction to-vhdl
Introduction to-vhdl
Neeraj Gupta
 

What's hot (20)

How to design Programs using VHDL
How to design Programs using VHDLHow to design Programs using VHDL
How to design Programs using VHDL
 
Spdas2 vlsibput
Spdas2 vlsibputSpdas2 vlsibput
Spdas2 vlsibput
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
Vhdl 1 ppg
Vhdl 1 ppgVhdl 1 ppg
Vhdl 1 ppg
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
VHDL
VHDLVHDL
VHDL
 
Chapter 5 introduction to VHDL
Chapter 5 introduction to VHDLChapter 5 introduction to VHDL
Chapter 5 introduction to VHDL
 
Lecture3 combinational blocks
Lecture3 combinational blocksLecture3 combinational blocks
Lecture3 combinational blocks
 
Vhdl basic unit-2
Vhdl basic unit-2Vhdl basic unit-2
Vhdl basic unit-2
 
Vhdl design flow
Vhdl design flowVhdl design flow
Vhdl design flow
 
Lecture1
Lecture1Lecture1
Lecture1
 
VHDL CODES
VHDL CODES VHDL CODES
VHDL CODES
 
Introduction to VHDL - Part 1
Introduction to VHDL - Part 1Introduction to VHDL - Part 1
Introduction to VHDL - Part 1
 
vhdl
vhdlvhdl
vhdl
 
Introduction to VHDL
Introduction to VHDLIntroduction to VHDL
Introduction to VHDL
 
VHDL - Part 2
VHDL - Part 2VHDL - Part 2
VHDL - Part 2
 
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x AdditionsVHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
VHDL Packages, Coding Styles for Arithmetic Operations and VHDL-200x Additions
 
Verilog HDL Training Course
Verilog HDL Training CourseVerilog HDL Training Course
Verilog HDL Training Course
 
Vhdl programming
Vhdl programmingVhdl programming
Vhdl programming
 
Introduction to-vhdl
Introduction to-vhdlIntroduction to-vhdl
Introduction to-vhdl
 

Similar to Vhdl

UNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla blaUNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla bla
SEN150VAIBHAVWAKHARE
 
VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
ABHISHEKJHA176786
 
hardware description language power point presentation
hardware description language power point presentationhardware description language power point presentation
hardware description language power point presentation
dhananjeyanrece
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
SIVA NAGENDRA REDDY
 
vhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer designvhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer design
keerthikagovindasamy
 
the-vhsic-.pptx
the-vhsic-.pptxthe-vhsic-.pptx
the-vhsic-.pptx
jpradha86
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
MalligaarjunanN
 
DLD5.pdf
DLD5.pdfDLD5.pdf
DLD5.pdf
Shashi738182
 
Verilog HDL
Verilog HDL Verilog HDL
Verilog HDL
HasmukhPKoringa
 
Ece iv-fundamentals of hdl [10 ec45]-notes
Ece iv-fundamentals of hdl [10 ec45]-notesEce iv-fundamentals of hdl [10 ec45]-notes
Ece iv-fundamentals of hdl [10 ec45]-notes
siddu kadiwal
 
Vhdl
VhdlVhdl
session two hardware description language
session  two hardware description languagesession  two hardware description language
session two hardware description language
dhananjeyanrece
 
Prilimanary Concepts of VHDL by Dr.R.Prakash Rao
Prilimanary Concepts of VHDL by    Dr.R.Prakash RaoPrilimanary Concepts of VHDL by    Dr.R.Prakash Rao
Prilimanary Concepts of VHDL by Dr.R.Prakash Rao
rachurivlsi
 
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1
Béo Tú
 
Hdl
HdlHdl
Verilog
VerilogVerilog
Verilog
Mohamed Rayan
 

Similar to Vhdl (20)

UNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla blaUNIT-I.pptx of subject in engineering bla bla bla
UNIT-I.pptx of subject in engineering bla bla bla
 
VHDL_VIKAS.pptx
VHDL_VIKAS.pptxVHDL_VIKAS.pptx
VHDL_VIKAS.pptx
 
hardware description language power point presentation
hardware description language power point presentationhardware description language power point presentation
hardware description language power point presentation
 
Vlsi(2)
Vlsi(2)Vlsi(2)
Vlsi(2)
 
Dica ii chapter slides
Dica ii chapter slidesDica ii chapter slides
Dica ii chapter slides
 
vhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer designvhdlTutorial.ppt . digital principal and computer design
vhdlTutorial.ppt . digital principal and computer design
 
Vhdl new
Vhdl newVhdl new
Vhdl new
 
the-vhsic-.pptx
the-vhsic-.pptxthe-vhsic-.pptx
the-vhsic-.pptx
 
Digital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptxDigital principle and computer design Presentation (1).pptx
Digital principle and computer design Presentation (1).pptx
 
DLD5.pdf
DLD5.pdfDLD5.pdf
DLD5.pdf
 
Verilog HDL
Verilog HDL Verilog HDL
Verilog HDL
 
Wi Fi documantation
Wi Fi documantationWi Fi documantation
Wi Fi documantation
 
Vhdl
VhdlVhdl
Vhdl
 
Ece iv-fundamentals of hdl [10 ec45]-notes
Ece iv-fundamentals of hdl [10 ec45]-notesEce iv-fundamentals of hdl [10 ec45]-notes
Ece iv-fundamentals of hdl [10 ec45]-notes
 
Vhdl
VhdlVhdl
Vhdl
 
session two hardware description language
session  two hardware description languagesession  two hardware description language
session two hardware description language
 
Prilimanary Concepts of VHDL by Dr.R.Prakash Rao
Prilimanary Concepts of VHDL by    Dr.R.Prakash RaoPrilimanary Concepts of VHDL by    Dr.R.Prakash Rao
Prilimanary Concepts of VHDL by Dr.R.Prakash Rao
 
Verilog Lecture1
Verilog Lecture1Verilog Lecture1
Verilog Lecture1
 
Hdl
HdlHdl
Hdl
 
Verilog
VerilogVerilog
Verilog
 

Recently uploaded

ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
BrazilAccount1
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
Kamal Acharya
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
Runway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptxRunway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptx
SupreethSP4
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
BrazilAccount1
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
ongomchris
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Teleport Manpower Consultant
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
ydteq
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
ViniHema
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
TeeVichai
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
seandesed
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
thanhdowork
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
Divya Somashekar
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
AmarGB2
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
VENKATESHvenky89705
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
Jayaprasanna4
 

Recently uploaded (20)

ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
English lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdfEnglish lab ppt no titlespecENG PPTt.pdf
English lab ppt no titlespecENG PPTt.pdf
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
Runway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptxRunway Orientation Based on the Wind Rose Diagram.pptx
Runway Orientation Based on the Wind Rose Diagram.pptx
 
AP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specificAP LAB PPT.pdf ap lab ppt no title specific
AP LAB PPT.pdf ap lab ppt no title specific
 
space technology lecture notes on satellite
space technology lecture notes on satellitespace technology lecture notes on satellite
space technology lecture notes on satellite
 
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdfTop 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
Top 10 Oil and Gas Projects in Saudi Arabia 2024.pdf
 
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
一比一原版(UofT毕业证)多伦多大学毕业证成绩单如何办理
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
 
Railway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdfRailway Signalling Principles Edition 3.pdf
Railway Signalling Principles Edition 3.pdf
 
Architectural Portfolio Sean Lockwood
Architectural Portfolio Sean LockwoodArchitectural Portfolio Sean Lockwood
Architectural Portfolio Sean Lockwood
 
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang,  ICLR 2024, MLILAB, KAIST AI.pdfJ.Yang,  ICLR 2024, MLILAB, KAIST AI.pdf
J.Yang, ICLR 2024, MLILAB, KAIST AI.pdf
 
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
RAT: Retrieval Augmented Thoughts Elicit Context-Aware Reasoning in Long-Hori...
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
Investor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptxInvestor-Presentation-Q1FY2024 investor presentation document.pptx
Investor-Presentation-Q1FY2024 investor presentation document.pptx
 
road safety engineering r s e unit 3.pdf
road safety engineering  r s e unit 3.pdfroad safety engineering  r s e unit 3.pdf
road safety engineering r s e unit 3.pdf
 
ethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.pptethical hacking in wireless-hacking1.ppt
ethical hacking in wireless-hacking1.ppt
 

Vhdl

  • 1. VHDL Dr. Vandana Malode 1Source : open source free internet
  • 2. Content • VLSI Design flow • Level of abstraction • Types of HDL • Compare VHDL and Verilog 2Source : open source free internet
  • 3. 3Source : open source free internet
  • 4. Simulation Vs Synthesis 4 Front End Procedure Back end procedure Correctness of program(code) Concentration on Chip Design Functionality of Description Apply I/P o/p Ex. Full Adder (2HA+1OR ) Not check Power,Delay,Performance,Size Size,Power,Delay , performance Tools: Modelsim, Questasim, Front end Mentor graphics Tools: Xilinx , cadence, Synopsis, Backend Mentor graphics Source : open source free internet
  • 5. Logic Simulation • A simulator interprets the HDL description and produces a readable output, such as a timing diagram, that predicts how the hardware will behave before its is actually fabricated. • Simulation allows the detection of functional errors in a design without having to physically create the circuit. 5Source : open source free internet
  • 6. Motivation • The benefits of integrating analogue circuitry with digital logic include: • Higher performance • Lower power consumption • Less mass • Reduced costs • Improved reliability • Greater levels of reusability • Enhanced system testing and quality • All of these benefits contribute to the spirit of “Faster, Better, Cheaper”! • All of these offer the potential to advance mixed-signal microelectronics for space applications 6Source : open source free internet
  • 7. View by Chip Technology 7 ARTIX7 KINTEX7 VIRTEX7 ZYNQ SPARTAN-6 Source : open source free internet
  • 8. Concept of module or chip 8Source : open source free internet
  • 9. 9 Levels of Abstraction 1970 1980 1990 2000+ Abstraction Circuit Level Gate Level RTL RT Level (Module) RTL SW System Level Source : open source free internet
  • 10. 10Source : open source free internet
  • 11. Types of VHDL • VHDL : VHSIC –HDL VHSIC: Very High Speed Integrated Circuit- Hardware Description Language. It is a Hardware Description Language used in electronic design automation to describe digital and mixed-signal systems. VHDL is a description and simulation language like ada , panda, pascal • Verilog HDL: Verilog Hardware Description Language.(like ‘C’ language) HDL: Designed by IBM, Texas Instruments, and Intermetrics for united states Air force .VHSIC program launched in 1980. Standardized by the IEEE in 1987: IEEE 1076-1987 • TOOLS: Xilinx, Atmel, Altera, Mentor Graphics, Cadence 11Source : open source free internet
  • 12. Compare VHDL and VERILOG 12 VHDL VERILOG Entity, Multiple architecture description Only Module Declaration Ada based constructs , not case sensitive language C language, case-sensitive Harder to learn and use Easy to learn and use , Fast simulation VHDL is strongly type language Verilog is weakly type language User-defined, physical, enumeration or pointer types is available and required 9-valued logic User-defined, physical, enumeration or pointer types is not available and required 4-valued logic Very good FPGA library support. Better availability of ASIC library support Gate level , data flow and Behavioral modeling Gate level , data flow and Behavioral modeling Source : open source free internet
  • 13. So Choose language (HDL) based on Trend and area of work 13Source : open source free internet
  • 14. IEEE STANDARD 1164 To use standard logic data types place at top of source file – LIBRARY ieee; -- library – USE ieee.std_logic_1164.ALL; -- package • Provides a standard data type (std_logic) in VHDL - nine values – U unitialized(Default value) – ‘X’ strong drive , unknown logic value – ‘0’ strong drive, logic 0 – ‘1’ forcing, logic 1 – Z high impedance ( For tri-state logic) – ‘W’ weak unknown logic value – ‘L’ weak drive, logic 0 – ‘H’ weak drive, logic 1 – ‘-’ don’t care • Provides a standard data type (std_logic) in Verilog - Four values – X forcing unknown – 0 forcing logic 0 – 1 forcing logic 1 – Z high impedance 14Source : open source free internet
  • 15. Entity • The entity defines the external view of the component. It provides system’s interface specification as seen from the outside. • PORTS are the communication links between entities or connections to the device pins Syntax For Entity entity EntityName is port (port list Input and output); end EntityName;  Note the use of libraries before entity description Libray ieee; USE ieee.std_logic_1164.all; ENTITY andgate IS PORT (a, b : IN std_logic; y : OUT std_logic); END andgate; 15Source : open source free internet
  • 16. Architecture • The Architecture defines the function or behavior or structure of the ENTITY • Consists of – concurrent statements – Sequential statements • An entity may have several architectures Multiple Architecture Syntax For Architecture Architecture ArchitectureName of EntityName is begin -- signal declarations -- concurrent statements end ArchitectureName; 16 Entity A B C Architecture behavior OF andgate is Begin y <= a and b; END behavior; Source : open source free internet
  • 17. Complete VHDL Code for AND Gate LIBRARY ieee; USE ieee.std_logic_1164.ALL; Entity andgate is Port (a, b : IN std_logic; y : OUT std_logic); End andgate; Architecture behavior OF andgate is Begin Y<= a and b; End behaviour; • Architecture behavior END behavior; 17Source : open source free internet
  • 18. Entity and Architecture Example: a 2-input multiplexer 18Source : open source free internet
  • 19. Data objects 19 Elements Identifiers Data objects Constants (Global) Signals (Global) Variables (Local) Data types Objects are used to represent and store the data in the system being described in VHDL. Example- SIGNAL COUNT: INTEGER Class Object Data Type Source : open source free internet
  • 20. CLASS: Each object belong to one of following class:  Constant: Hold values that cannot be changed within a design. Syntax: Constant constant name: Data Type := Value; Example: 1] constant width: integer :=8; 2] constant Clk_Period: Time := 15 ns; Continue 20 • Constant • Signals • Variables Source : open source free internet
  • 21. CLASS • Variable: A variable is an object with single value. • Values can be change during simulation through the variable assignment statements. • A variable declaration include one or more identifiers. • Variable used in Process not in architecture. • Internal representation used by programmers; do not exist physically. • Syntax: variable identifier_list: type [range_expr] [:=expression]; Example: 1] variable A,B: Std_logic; 2] Variable sum : std_logic-vector( 0 to 7); 21 Variable name Source : open source free internet
  • 22. CLASS  Signal: To represent wire connections within circuit. Signal is an object within past history of values. Signal have multiple drivers each with a current value and projected future value. Signal Describing H/W sytsem. Syntax: Signal identifier_list: type [range_expr] [:=expression]; Example: 1] signal count: STD_LOGIC_VECTOR (3 downto 0); 2] signal Gate-Delay: Time:=10ns; -- count means 4 wires; they are count(3),count(2), count(1), count(0). 22Source : open source free internet