SlideShare a Scribd company logo
RTL DESIGN
with VHDL
101
S E S S I O N 0 1
D I G I T A L V L S I T O P I C S
W W W . Y O U T U B E . C O M / C H A N N E L / U C C E C V 3 G Q L Q C R T 8 M S 3 _ A R N 9 Q
For all course videos and material visit YouTube channel
W W W . L I N K E D I N . C O M / I N / M A H M O U D A B D E L L A T I F
3
What is VLSI, Why it is Important,
Digital ASIC Design Flow..
Digital VLSI
The first half of Digital ASIC
Design flow
Logical Design
The second half of Digital ASIC
Design flow
Physical Design
Hardware Description languages
HDL
OUTLINE
4
D I G I T A L D E S I G N F L O W
Digital VLSI
S E S S I O N 0 1
VLSI Industry
5
V L S I
https://www.linkedin.com/pulse/vlsi-industry-orientation-session-undergrad-egypt-abdellatif/
U S E F U L L I N K S
We can now fabricate millions of
transistors in a unit square inch piece of
silicon 
Very Large Scale Integration (VLSI).
To facilitate this complex design and
fabrication of an IC, various automatic
tools and machines are available for
design and verification of an IC 
A Electronic Design Automation (EDA)
software tools.
S E S S I O N 0 1
Digital ASIC Design Flow
6
V L S I
Logical
Design
Physical
Design
DESIGN SYNTHESIS LAYOUT TAPEOUT
7
D I G I T A L D E S I G N F L O W
Logical Design
S E S S I O N 0 1
Logical Design
8
V L S I
• The flow starts with High-Level design Specification.
• The designer defines Area, Speed and Power constraints under which the goal has to be achieved.
Design
Specification
Logical Design
…. Design
…….. Design Specification
S E S S I O N 0 1
Logical Design
9
V L S I
• The designer starts thinking about the architectural design
• The design functionality is broken down to small pieces with clear understanding about the block
implementation
• Each design has objectives and constraints
• Functionality • Speed at which a design can operate • Power consumed by the design
• Testability of the design • Area of chip package
System
Architecture
Logical Design
…. Design
…….. System Architecture
S E S S I O N 0 1
Logical Design
1 0
V L S I
RTL
Design
RTL, Register Transfer Level, describing the functional behavior using HDL, hardware description
languages, VHDL, Verilog or SystemVerilog .
Logical Design
…. Design
…….. RTL Design
S E S S I O N 0 1
Logical Design
1 1
V L S I
Functional Verification, verifying the functionality using simulation.
Functional
Verification
Logical Design
…. Design
…….. Functional Verification
S E S S I O N 0 1
Logical Design
1 2
V L S I
Logic
Synthesis
• The first step of converting the RTL to Gate Netlist.
• Synthesis tool takes a Standard Cell Library, Constraints, RTL codes and generate Gate Level
Netlist.
• Timing, Power and Area constraints are guiding synthesis tools to achieve design goals.
Logical Design
…. Synthesis
…….. Logic Synthesis
S E S S I O N 0 1
Logical Design
1 3
V L S I
Design
For Test
DFT, this step is for preparing the design for testability. Scan insertion is a common technique that
helps to make all registers in the design controllable and observable.
Logical Design
…. Synthesis
…….. Design for Testability
S E S S I O N 0 1
Logical Design
1 4
V L S I
This is used to verify the functionality of gate netlist against the RTL description using formal
verification techniques.
Equivalence
Checking
Logical Design
…. Synthesis
…….. Equivalence Checking
S E S S I O N 0 1
Logical Design
1 5
V L S I
Static
Timing
Analysis
STA, static timing analysis, a method of checking the ability of the design to meet the timing
requirements statically without simulation.
The designer is responsible of specifying 'Timing Constraints' to model how the design needs to be
constrained & the STA tools check that the design meets the timing requirements.
The designer uses an industry standard format 'SDC' Synopsys Design Constraints.
STA on this stage acts as the bridge between logical and physical design
Logical Design
…. Synthesis
…….. Static Timing Analysis
1 6
D I G I T A L D E S I G N F L O W
Physical Design
S E S S I O N 0 1
Physical Design
1 7
V L S I
Floor
Planning
• Floor planning is the starting step in ASIC physical design
• The logical blocks locations are determined considering many optimization factors to account for Area,
Speed and Power
• These parameters are decided in this stage.
- Die size, core size of the chip - I/O pad’s location
- Plan for power
Physical Design
…. Layout
…….. Floor Planning
S E S S I O N 0 1
Physical Design
1 8
V L S I
Placement
• Assigning exact locations for the blocks within the chip core area
• A placement tool takes a given synthesized circuit netlist together with a technology library and
produces a valid placement layout
Physical Design
…. Layout
…….. Placement
S E S S I O N 0 1
Physical Design
1 9
V L S I
Clock
Tree
Synthesis
• Clock Tree Synthesis ‘CTS’ is the step which is responsible for distributing the clock and reduce
clock skew between different parts of the design by insertion of buffers or inverters along the
clock paths of ASIC design.
Physical Design
…. Layout
…….. Clock Tree Synthesis
S E S S I O N 0 1
Physical Design
2 0
V L S I
Routing
• Routing the design is the final step to generate the layout.
• During the physical design, STA may be done multiple times to perform a more accurate timing
analysis.
•
Physical Design
…. Layout
…….. Routing
S E S S I O N 0 1
Physical Design
2 1
V L S I
Signoff
LVS
Two steps are needed to verify the layout
• LVS, Layout versus Netlist, matching the layout with the gate level netlist generated after
synthesis.
Physical Design
…. Tapeout
…….. LVS
S E S S I O N 0 1
Physical Design
2 2
V L S I
Signoff
DRC
• DRC, Design Rule Checking, All rules laid out by the foundry where it will be fabricated into a chip
are adhered
• For Example
If two metal wires are too close together on the same layer, they may short during the
manufacturing process
Physical Design
…. Tapeout
…….. DRC
S E S S I O N 0 1
Physical Design
2 3
V L S I
Signoff
STA
• Signoff Static Timing Analysis is performed again at this phase.
Physical Design
…. Tapeout
…….. Signoff STA
S E S S I O N 0 1
Physical Design
2 4
V L S I
GDSII
Release
• Fabs manufacture ICs based on the GDSII
• GDSII stream format is the industry standard for data exchange of IC layout.
• This Digital ASIC design flow is referred to as RTL2GDSII flow & the process to generate GDSII is
termed as Tapeout.
Physical Design
…. Tapeout
…….. GDSII Release
2 5
D I G I T A L D E S I G N F L O W
Hardware Description
Languages
S E S S I O N 0 1
Hardware Description Language
2 6
V L S I
There are two popular widely used HDL languages
• VHDL
- first version came in 1987 followed by versions 1993, 2000, 2002, 2006 and 2008
- more difficult to learn
- strongly typed
- 85% of FPGA designs done in VHDL
• Verilog
- first version in 1985 followed by versions 1995, 2001, 2005 and SystemVerilog
- easier and simpler to learn
- weakly typed
- 85% of ASIC designs done with Verilog
If you learn VHDL then you can learn Verilog in few weeks
If you learn Verilog then it may be hardware to accept learning VHDL
S E S S I O N 0 1
HDL Levels of Abstraction
2 7
V L S I
Used to describe algorithms of the
design.
This is the highest level of abstraction
possible using the HDL.
The top level function of the design is
described and it is useful mainly at
the system analysis, simulation and
partition stage.
Behavioral Design
RTL design comes in between behavioral.
It has detailed description of the circuit
with respect to the clocks and data flow.
RTL Design
This is closer to physical representation of
a circuit and has almost zero abstraction.
This form of HDL is generally the output of
a synthesis tool which takes RTL design
as input.
Gate Level Netlist
MODELING
RTL
Design
Netlist
S E S S I O N 0 1
HDL STRUCTURE
2 8
V L S I
PACKAGE
DECLARATION
____________
PACKAGE BODY
(used for functions,
constants,
components,…)
ENTITY
(Interface Description)
ARCHITECTURE
(Functionality)
Concurrency Nature
You have to think in Hardware when you write your
VHDL/Verilog code
In this code, both AND & OR gates works at the same
time and any update on x reflects on e at the same time
BEGIN
x <= A AND B;
e <= x OR y;
END;
X
y
e
M A N Y T H A N K S
Session 01 _rtl_design_with_vhdl 101

More Related Content

What's hot

VERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGY
VERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGYVERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGY
VERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGY
Seelam Vasavi Sai Viswanada Prabhu Deva Kumar
 
VLSI Systems & Design
VLSI Systems & DesignVLSI Systems & Design
VLSI Systems & Design
Aakash Mishra
 
As Resume 2011 12 07 00 54
As Resume 2011 12 07 00 54As Resume 2011 12 07 00 54
As Resume 2011 12 07 00 54
ascalifornia
 
updated resume ---III
updated resume ---IIIupdated resume ---III
updated resume ---IIIshrutinalla
 
CSE 690 Internship at NIKE Inc Final Report
CSE 690 Internship at NIKE Inc Final ReportCSE 690 Internship at NIKE Inc Final Report
CSE 690 Internship at NIKE Inc Final Report
CA Technologies
 
VLSI Fresher Resume
VLSI Fresher ResumeVLSI Fresher Resume
VLSI Fresher Resume
vikas kumar
 
vlsi ajal
vlsi ajalvlsi ajal
vlsi ajal
AJAL A J
 
DELD Unit V cpld_fpga
DELD Unit V cpld_fpgaDELD Unit V cpld_fpga
DELD Unit V cpld_fpga
KanchanPatil34
 
Developing for polar fire soc
Developing for polar fire socDeveloping for polar fire soc
Developing for polar fire soc
RISC-V International
 
RISC-V Introduction
RISC-V IntroductionRISC-V Introduction
RISC-V Introduction
Yi-Hsiu Hsu
 
Resume Digital & Analog
Resume Digital & AnalogResume Digital & Analog
Resume Digital & AnalogVenu Naik K
 
Verilog
VerilogVerilog
Verilog
YeasinNewaj
 
Open j9 jdk on RISC-V
Open j9 jdk on RISC-VOpen j9 jdk on RISC-V
Open j9 jdk on RISC-V
RISC-V International
 
The Future of Operating Systems on RISC-V
The Future of Operating Systems on RISC-VThe Future of Operating Systems on RISC-V
The Future of Operating Systems on RISC-V
C4Media
 
Punit_Shah_resume
Punit_Shah_resumePunit_Shah_resume
Punit_Shah_resumePunit Shah
 

What's hot (20)

VERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGY
VERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGYVERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGY
VERY LARGE SCALE INTEGRATION (VLSI) TECHNOLOGY
 
VLSI Systems & Design
VLSI Systems & DesignVLSI Systems & Design
VLSI Systems & Design
 
Himanshu Shivhar (1)
Himanshu Shivhar (1)Himanshu Shivhar (1)
Himanshu Shivhar (1)
 
As Resume 2011 12 07 00 54
As Resume 2011 12 07 00 54As Resume 2011 12 07 00 54
As Resume 2011 12 07 00 54
 
updated resume ---III
updated resume ---IIIupdated resume ---III
updated resume ---III
 
Viswateja_Nemani
Viswateja_NemaniViswateja_Nemani
Viswateja_Nemani
 
CSE 690 Internship at NIKE Inc Final Report
CSE 690 Internship at NIKE Inc Final ReportCSE 690 Internship at NIKE Inc Final Report
CSE 690 Internship at NIKE Inc Final Report
 
VLSI Fresher Resume
VLSI Fresher ResumeVLSI Fresher Resume
VLSI Fresher Resume
 
vlsi ajal
vlsi ajalvlsi ajal
vlsi ajal
 
Week 7
Week 7Week 7
Week 7
 
DELD Unit V cpld_fpga
DELD Unit V cpld_fpgaDELD Unit V cpld_fpga
DELD Unit V cpld_fpga
 
Developing for polar fire soc
Developing for polar fire socDeveloping for polar fire soc
Developing for polar fire soc
 
RISC-V Introduction
RISC-V IntroductionRISC-V Introduction
RISC-V Introduction
 
Resume Digital & Analog
Resume Digital & AnalogResume Digital & Analog
Resume Digital & Analog
 
Verilog
VerilogVerilog
Verilog
 
Open j9 jdk on RISC-V
Open j9 jdk on RISC-VOpen j9 jdk on RISC-V
Open j9 jdk on RISC-V
 
Magillem marcos av_silva
Magillem marcos av_silvaMagillem marcos av_silva
Magillem marcos av_silva
 
Session one
Session oneSession one
Session one
 
The Future of Operating Systems on RISC-V
The Future of Operating Systems on RISC-VThe Future of Operating Systems on RISC-V
The Future of Operating Systems on RISC-V
 
Punit_Shah_resume
Punit_Shah_resumePunit_Shah_resume
Punit_Shah_resume
 

Similar to Session 01 _rtl_design_with_vhdl 101

ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
Sudhanshu Janwadkar
 
Integrated Circuits introduction and fpga
Integrated Circuits introduction and fpgaIntegrated Circuits introduction and fpga
Integrated Circuits introduction and fpga
VenkataramanLakshmin1
 
Digital Integrated Circuit (IC) Design
Digital Integrated Circuit (IC) DesignDigital Integrated Circuit (IC) Design
Digital Integrated Circuit (IC) Design
Mahesh Dananjaya
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
VLSIUNIVERSE
 
Design & Simulation With Verilog
Design & Simulation With Verilog Design & Simulation With Verilog
Design & Simulation With Verilog
Semi Design
 
VLSI lab manual Part A, VTU 7the sem KIT-tiptur
VLSI lab manual Part A, VTU 7the sem KIT-tipturVLSI lab manual Part A, VTU 7the sem KIT-tiptur
VLSI lab manual Part A, VTU 7the sem KIT-tiptur
Pramod Kumar S
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
Avinash Pillai
 
Open source tools for logic synthesis and soc design an overview
Open source tools for logic synthesis and soc design  an overviewOpen source tools for logic synthesis and soc design  an overview
Open source tools for logic synthesis and soc design an overview
Vaibhav R
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
Bhagwan Lal Teli
 
6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana
deepikakaler1
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
Arshit Rai
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
Arshit Rai
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
Rajendra Kumar
 
Overview of digital design with Verilog HDL
Overview of digital design with Verilog HDLOverview of digital design with Verilog HDL
Overview of digital design with Verilog HDL
anand hd
 
Vlsi
VlsiVlsi
module 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptxmodule 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptx
Maaz609108
 
Cockatrice: A Hardware Design Environment with Elixir
Cockatrice: A Hardware Design Environment with ElixirCockatrice: A Hardware Design Environment with Elixir
Cockatrice: A Hardware Design Environment with Elixir
Hideki Takase
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
Ravi Sony
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
Ilango Jeyasubramanian
 

Similar to Session 01 _rtl_design_with_vhdl 101 (20)

ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)ASIC design Flow (Digital Design)
ASIC design Flow (Digital Design)
 
Integrated Circuits introduction and fpga
Integrated Circuits introduction and fpgaIntegrated Circuits introduction and fpga
Integrated Circuits introduction and fpga
 
Digital Integrated Circuit (IC) Design
Digital Integrated Circuit (IC) DesignDigital Integrated Circuit (IC) Design
Digital Integrated Circuit (IC) Design
 
Complete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSEComplete ASIC design flow - VLSI UNIVERSE
Complete ASIC design flow - VLSI UNIVERSE
 
Design & Simulation With Verilog
Design & Simulation With Verilog Design & Simulation With Verilog
Design & Simulation With Verilog
 
VLSI lab manual Part A, VTU 7the sem KIT-tiptur
VLSI lab manual Part A, VTU 7the sem KIT-tipturVLSI lab manual Part A, VTU 7the sem KIT-tiptur
VLSI lab manual Part A, VTU 7the sem KIT-tiptur
 
Chapter1.slides
Chapter1.slidesChapter1.slides
Chapter1.slides
 
Tutor1
Tutor1Tutor1
Tutor1
 
Open source tools for logic synthesis and soc design an overview
Open source tools for logic synthesis and soc design  an overviewOpen source tools for logic synthesis and soc design  an overview
Open source tools for logic synthesis and soc design an overview
 
vlsi design summer training ppt
vlsi design summer training pptvlsi design summer training ppt
vlsi design summer training ppt
 
6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana6 weeks/months summer training in vlsi,ludhiana
6 weeks/months summer training in vlsi,ludhiana
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Summer training vhdl
Summer training vhdlSummer training vhdl
Summer training vhdl
 
Vlsi design flow
Vlsi design flowVlsi design flow
Vlsi design flow
 
Overview of digital design with Verilog HDL
Overview of digital design with Verilog HDLOverview of digital design with Verilog HDL
Overview of digital design with Verilog HDL
 
Vlsi
VlsiVlsi
Vlsi
 
module 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptxmodule 1-2 - Design Methods, parameters and examples.pptx
module 1-2 - Design Methods, parameters and examples.pptx
 
Cockatrice: A Hardware Design Environment with Elixir
Cockatrice: A Hardware Design Environment with ElixirCockatrice: A Hardware Design Environment with Elixir
Cockatrice: A Hardware Design Environment with Elixir
 
Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners Short.course.introduction.to.vhdl for beginners
Short.course.introduction.to.vhdl for beginners
 
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGYASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
ASIC DESIGN OF MINI-STEREO DIGITAL AUDIO PROCESSOR UNDER SMIC 180NM TECHNOLOGY
 

Recently uploaded

FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance
 
Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........
Alison B. Lowndes
 
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered QualitySoftware Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Inflectra
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
Product School
 
The Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and SalesThe Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and Sales
Laura Byrne
 
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
BookNet Canada
 
GraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge GraphGraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge Graph
Guy Korland
 
Essentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with ParametersEssentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with Parameters
Safe Software
 
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdfFIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance
 
Search and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical FuturesSearch and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical Futures
Bhaskar Mitra
 
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
Sri Ambati
 
Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*
Frank van Harmelen
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
Product School
 
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
DanBrown980551
 
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptxIOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
Abida Shariff
 
DevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA ConnectDevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA Connect
Kari Kakkonen
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance
 
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
Product School
 
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Product School
 
Accelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish CachingAccelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish Caching
Thijs Feryn
 

Recently uploaded (20)

FIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdfFIDO Alliance Osaka Seminar: Overview.pdf
FIDO Alliance Osaka Seminar: Overview.pdf
 
Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........Bits & Pixels using AI for Good.........
Bits & Pixels using AI for Good.........
 
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered QualitySoftware Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
Software Delivery At the Speed of AI: Inflectra Invests In AI-Powered Quality
 
How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...How world-class product teams are winning in the AI era by CEO and Founder, P...
How world-class product teams are winning in the AI era by CEO and Founder, P...
 
The Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and SalesThe Art of the Pitch: WordPress Relationships and Sales
The Art of the Pitch: WordPress Relationships and Sales
 
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...Transcript: Selling digital books in 2024: Insights from industry leaders - T...
Transcript: Selling digital books in 2024: Insights from industry leaders - T...
 
GraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge GraphGraphRAG is All You need? LLM & Knowledge Graph
GraphRAG is All You need? LLM & Knowledge Graph
 
Essentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with ParametersEssentials of Automations: Optimizing FME Workflows with Parameters
Essentials of Automations: Optimizing FME Workflows with Parameters
 
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdfFIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
FIDO Alliance Osaka Seminar: Passkeys at Amazon.pdf
 
Search and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical FuturesSearch and Society: Reimagining Information Access for Radical Futures
Search and Society: Reimagining Information Access for Radical Futures
 
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
GenAISummit 2024 May 28 Sri Ambati Keynote: AGI Belongs to The Community in O...
 
Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*Neuro-symbolic is not enough, we need neuro-*semantic*
Neuro-symbolic is not enough, we need neuro-*semantic*
 
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
AI for Every Business: Unlocking Your Product's Universal Potential by VP of ...
 
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
LF Energy Webinar: Electrical Grid Modelling and Simulation Through PowSyBl -...
 
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptxIOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
IOS-PENTESTING-BEGINNERS-PRACTICAL-GUIDE-.pptx
 
DevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA ConnectDevOps and Testing slides at DASA Connect
DevOps and Testing slides at DASA Connect
 
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdfFIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
FIDO Alliance Osaka Seminar: The WebAuthn API and Discoverable Credentials.pdf
 
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
From Daily Decisions to Bottom Line: Connecting Product Work to Revenue by VP...
 
Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...Mission to Decommission: Importance of Decommissioning Products to Increase E...
Mission to Decommission: Importance of Decommissioning Products to Increase E...
 
Accelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish CachingAccelerate your Kubernetes clusters with Varnish Caching
Accelerate your Kubernetes clusters with Varnish Caching
 

Session 01 _rtl_design_with_vhdl 101

  • 1. RTL DESIGN with VHDL 101 S E S S I O N 0 1 D I G I T A L V L S I T O P I C S
  • 2. W W W . Y O U T U B E . C O M / C H A N N E L / U C C E C V 3 G Q L Q C R T 8 M S 3 _ A R N 9 Q For all course videos and material visit YouTube channel W W W . L I N K E D I N . C O M / I N / M A H M O U D A B D E L L A T I F
  • 3. 3 What is VLSI, Why it is Important, Digital ASIC Design Flow.. Digital VLSI The first half of Digital ASIC Design flow Logical Design The second half of Digital ASIC Design flow Physical Design Hardware Description languages HDL OUTLINE
  • 4. 4 D I G I T A L D E S I G N F L O W Digital VLSI
  • 5. S E S S I O N 0 1 VLSI Industry 5 V L S I https://www.linkedin.com/pulse/vlsi-industry-orientation-session-undergrad-egypt-abdellatif/ U S E F U L L I N K S We can now fabricate millions of transistors in a unit square inch piece of silicon  Very Large Scale Integration (VLSI). To facilitate this complex design and fabrication of an IC, various automatic tools and machines are available for design and verification of an IC  A Electronic Design Automation (EDA) software tools.
  • 6. S E S S I O N 0 1 Digital ASIC Design Flow 6 V L S I Logical Design Physical Design DESIGN SYNTHESIS LAYOUT TAPEOUT
  • 7. 7 D I G I T A L D E S I G N F L O W Logical Design
  • 8. S E S S I O N 0 1 Logical Design 8 V L S I • The flow starts with High-Level design Specification. • The designer defines Area, Speed and Power constraints under which the goal has to be achieved. Design Specification Logical Design …. Design …….. Design Specification
  • 9. S E S S I O N 0 1 Logical Design 9 V L S I • The designer starts thinking about the architectural design • The design functionality is broken down to small pieces with clear understanding about the block implementation • Each design has objectives and constraints • Functionality • Speed at which a design can operate • Power consumed by the design • Testability of the design • Area of chip package System Architecture Logical Design …. Design …….. System Architecture
  • 10. S E S S I O N 0 1 Logical Design 1 0 V L S I RTL Design RTL, Register Transfer Level, describing the functional behavior using HDL, hardware description languages, VHDL, Verilog or SystemVerilog . Logical Design …. Design …….. RTL Design
  • 11. S E S S I O N 0 1 Logical Design 1 1 V L S I Functional Verification, verifying the functionality using simulation. Functional Verification Logical Design …. Design …….. Functional Verification
  • 12. S E S S I O N 0 1 Logical Design 1 2 V L S I Logic Synthesis • The first step of converting the RTL to Gate Netlist. • Synthesis tool takes a Standard Cell Library, Constraints, RTL codes and generate Gate Level Netlist. • Timing, Power and Area constraints are guiding synthesis tools to achieve design goals. Logical Design …. Synthesis …….. Logic Synthesis
  • 13. S E S S I O N 0 1 Logical Design 1 3 V L S I Design For Test DFT, this step is for preparing the design for testability. Scan insertion is a common technique that helps to make all registers in the design controllable and observable. Logical Design …. Synthesis …….. Design for Testability
  • 14. S E S S I O N 0 1 Logical Design 1 4 V L S I This is used to verify the functionality of gate netlist against the RTL description using formal verification techniques. Equivalence Checking Logical Design …. Synthesis …….. Equivalence Checking
  • 15. S E S S I O N 0 1 Logical Design 1 5 V L S I Static Timing Analysis STA, static timing analysis, a method of checking the ability of the design to meet the timing requirements statically without simulation. The designer is responsible of specifying 'Timing Constraints' to model how the design needs to be constrained & the STA tools check that the design meets the timing requirements. The designer uses an industry standard format 'SDC' Synopsys Design Constraints. STA on this stage acts as the bridge between logical and physical design Logical Design …. Synthesis …….. Static Timing Analysis
  • 16. 1 6 D I G I T A L D E S I G N F L O W Physical Design
  • 17. S E S S I O N 0 1 Physical Design 1 7 V L S I Floor Planning • Floor planning is the starting step in ASIC physical design • The logical blocks locations are determined considering many optimization factors to account for Area, Speed and Power • These parameters are decided in this stage. - Die size, core size of the chip - I/O pad’s location - Plan for power Physical Design …. Layout …….. Floor Planning
  • 18. S E S S I O N 0 1 Physical Design 1 8 V L S I Placement • Assigning exact locations for the blocks within the chip core area • A placement tool takes a given synthesized circuit netlist together with a technology library and produces a valid placement layout Physical Design …. Layout …….. Placement
  • 19. S E S S I O N 0 1 Physical Design 1 9 V L S I Clock Tree Synthesis • Clock Tree Synthesis ‘CTS’ is the step which is responsible for distributing the clock and reduce clock skew between different parts of the design by insertion of buffers or inverters along the clock paths of ASIC design. Physical Design …. Layout …….. Clock Tree Synthesis
  • 20. S E S S I O N 0 1 Physical Design 2 0 V L S I Routing • Routing the design is the final step to generate the layout. • During the physical design, STA may be done multiple times to perform a more accurate timing analysis. • Physical Design …. Layout …….. Routing
  • 21. S E S S I O N 0 1 Physical Design 2 1 V L S I Signoff LVS Two steps are needed to verify the layout • LVS, Layout versus Netlist, matching the layout with the gate level netlist generated after synthesis. Physical Design …. Tapeout …….. LVS
  • 22. S E S S I O N 0 1 Physical Design 2 2 V L S I Signoff DRC • DRC, Design Rule Checking, All rules laid out by the foundry where it will be fabricated into a chip are adhered • For Example If two metal wires are too close together on the same layer, they may short during the manufacturing process Physical Design …. Tapeout …….. DRC
  • 23. S E S S I O N 0 1 Physical Design 2 3 V L S I Signoff STA • Signoff Static Timing Analysis is performed again at this phase. Physical Design …. Tapeout …….. Signoff STA
  • 24. S E S S I O N 0 1 Physical Design 2 4 V L S I GDSII Release • Fabs manufacture ICs based on the GDSII • GDSII stream format is the industry standard for data exchange of IC layout. • This Digital ASIC design flow is referred to as RTL2GDSII flow & the process to generate GDSII is termed as Tapeout. Physical Design …. Tapeout …….. GDSII Release
  • 25. 2 5 D I G I T A L D E S I G N F L O W Hardware Description Languages
  • 26. S E S S I O N 0 1 Hardware Description Language 2 6 V L S I There are two popular widely used HDL languages • VHDL - first version came in 1987 followed by versions 1993, 2000, 2002, 2006 and 2008 - more difficult to learn - strongly typed - 85% of FPGA designs done in VHDL • Verilog - first version in 1985 followed by versions 1995, 2001, 2005 and SystemVerilog - easier and simpler to learn - weakly typed - 85% of ASIC designs done with Verilog If you learn VHDL then you can learn Verilog in few weeks If you learn Verilog then it may be hardware to accept learning VHDL
  • 27. S E S S I O N 0 1 HDL Levels of Abstraction 2 7 V L S I Used to describe algorithms of the design. This is the highest level of abstraction possible using the HDL. The top level function of the design is described and it is useful mainly at the system analysis, simulation and partition stage. Behavioral Design RTL design comes in between behavioral. It has detailed description of the circuit with respect to the clocks and data flow. RTL Design This is closer to physical representation of a circuit and has almost zero abstraction. This form of HDL is generally the output of a synthesis tool which takes RTL design as input. Gate Level Netlist MODELING RTL Design Netlist
  • 28. S E S S I O N 0 1 HDL STRUCTURE 2 8 V L S I PACKAGE DECLARATION ____________ PACKAGE BODY (used for functions, constants, components,…) ENTITY (Interface Description) ARCHITECTURE (Functionality) Concurrency Nature You have to think in Hardware when you write your VHDL/Verilog code In this code, both AND & OR gates works at the same time and any update on x reflects on e at the same time BEGIN x <= A AND B; e <= x OR y; END; X y e
  • 29. M A N Y T H A N K S