International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
High performance standard cell layout synthesis for advanced nanometer國立交通大學
This document summarizes a presentation on standard cell layout synthesis for advanced technology nodes. It discusses the challenges of standard cell design at smaller process nodes. The presentation introduces standard cell basics and describes a cell layout synthesis flow involving transistor placement, cell routing, and transistor folding. It provides experimental results comparing the proposed flow to a commercial standard cell library, showing improvements in area and routing resources while maintaining similar performance. Future work areas discussed include routability estimation during transistor placement and new techniques for advanced process nodes.
Semiconductor engineering is becoming more dynamic fiels since the technology scaling is taking place. Power reduction techniques are lucrative solutions to the performance, area and power trade off. Therefore Power reduction of VLSI designs are critical.
This document provides an overview of the ASIC back-end design flow, including timing driven placement. It discusses the inputs to the Astro placement and routing tool, including the gate-level netlist, standard cell library, and timing constraints. It describes key aspects of the placement process, including floorplanning, placement rows, and timing driven placement to optimize critical paths. The goal is to meet all timing constraints by balancing timing, area, power, and signal integrity.
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPVLSICS Design
The power consumption of IC during test mode is higher than its normal mode. This brings the power as one of the major design constraints for today’s low power design technologies. In normal scan based test circuits most of the power consumed due to the switching activity of scanflops during shift and capture cycles. In this paper a novel scanflop is presented which reduces the switching activity of the scanflop for clock and it reduces the power consumption of the circuit and it also reduces area and test time too. The proposed Dual Mode One Latch Double Edge Triggered (DMOL-DET) scanflop which shift the two bits of test vector in a clock cycle, during its test mode and captures the single data in a clock cycle during its data mode. The design and functionality of the proposed scanflop is discussed and compared with the different flipflops which shows that the proposed scan flop reduces the test time and clock switching activity by 50%, area by 30% and static power by 25%.
This document discusses library characterization, which involves characterizing standard cell libraries used in semiconductor design. It begins with an overview of why library characterization is an interesting career and then discusses fundamental terminology. It provides examples of characterizing an inverter and D flip-flop, covering timing analysis, power characterization, and more. Advanced topics discussed include state dependent delays, load capacitance characterization, and measuring tri-state delays. References are provided for further reading.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
High performance standard cell layout synthesis for advanced nanometer國立交通大學
This document summarizes a presentation on standard cell layout synthesis for advanced technology nodes. It discusses the challenges of standard cell design at smaller process nodes. The presentation introduces standard cell basics and describes a cell layout synthesis flow involving transistor placement, cell routing, and transistor folding. It provides experimental results comparing the proposed flow to a commercial standard cell library, showing improvements in area and routing resources while maintaining similar performance. Future work areas discussed include routability estimation during transistor placement and new techniques for advanced process nodes.
Semiconductor engineering is becoming more dynamic fiels since the technology scaling is taking place. Power reduction techniques are lucrative solutions to the performance, area and power trade off. Therefore Power reduction of VLSI designs are critical.
This document provides an overview of the ASIC back-end design flow, including timing driven placement. It discusses the inputs to the Astro placement and routing tool, including the gate-level netlist, standard cell library, and timing constraints. It describes key aspects of the placement process, including floorplanning, placement rows, and timing driven placement to optimize critical paths. The goal is to meet all timing constraints by balancing timing, area, power, and signal integrity.
DESIGN AND IMPLEMENTATION OF AREA AND POWER OPTIMISED NOVEL SCANFLOPVLSICS Design
The power consumption of IC during test mode is higher than its normal mode. This brings the power as one of the major design constraints for today’s low power design technologies. In normal scan based test circuits most of the power consumed due to the switching activity of scanflops during shift and capture cycles. In this paper a novel scanflop is presented which reduces the switching activity of the scanflop for clock and it reduces the power consumption of the circuit and it also reduces area and test time too. The proposed Dual Mode One Latch Double Edge Triggered (DMOL-DET) scanflop which shift the two bits of test vector in a clock cycle, during its test mode and captures the single data in a clock cycle during its data mode. The design and functionality of the proposed scanflop is discussed and compared with the different flipflops which shows that the proposed scan flop reduces the test time and clock switching activity by 50%, area by 30% and static power by 25%.
This document discusses library characterization, which involves characterizing standard cell libraries used in semiconductor design. It begins with an overview of why library characterization is an interesting career and then discusses fundamental terminology. It provides examples of characterizing an inverter and D flip-flop, covering timing analysis, power characterization, and more. Advanced topics discussed include state dependent delays, load capacitance characterization, and measuring tri-state delays. References are provided for further reading.
This document provides an overview of the ASIC back-end design flow, including physical design steps like floorplanning, placement, clock tree synthesis, and routing. It describes how CAD tools like Astro are used to automate the complex physical design process and optimize a design for timing while meeting other constraints. Key aspects of the flow include floorplanning the design, performing timing-driven placement and routing, building clock trees, and verifying the final implementation against timing and functional requirements.
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...ijsrd.com
Power dissipation is a challenging problem for today's system-on-chip design and test. This paper presents a novel architecture which generates the test patterns with reduced switching activities; it has the advantage of low test power and low hardware overhead. The proposed LP-TPG (test pattern generator) structure consists of modified low power linear feedback shift register (LP-LFSR), m-bit counter, gray counter, NOR-gate structure and XOR-array. The seed generated from LP-LFSR is EXCLUSIVE-OR ed with the data generated from gray code generator. The XOR result of the sequence is single input changing (SIC) sequence, in turn reduces the switching activity and so power dissipation will be very less. The proposed architecture is simulated using Modelsim and synthesized using Xilinx ISE9.2.The Xilinx chip scope tool will be used to test the logic running on FPGA.
The document discusses power consumption in microprocessors and techniques for power reduction. It notes that dynamic power, which scales with the square of the supply voltage and operating frequency, makes up the majority of total power consumption. Clock circuitry alone can account for 15-45% of total power. Clock gating and data gating are introduced as approaches to reduce unnecessary switching activity and clock distribution by powering down unused modules. An example of applying clock gating at the architectural level is given to turn off parts of a processor's decode, execute, and load/store units to achieve considerable power reduction of up to 25%.
Power gating is the main power reduction techniques for the static power. As long as technology scaling is taking place, static power becomes paramount important factor to the VLSI designs.Therefore Power gating is the recent power reduction technique that is actively in research areas.
This document provides a mid-term report on the design and analysis of a voltage controlled oscillator (VCO) for a master's project. It discusses completing the circuit diagram, symbol creation, and test circuit simulation in Cadence using 180nm technology. Simulation results so far show the VCO design is one third complete, with layout and performance analysis remaining. The report includes background on VCO metrics like frequency, tuning range, phase noise, and power consumption. It also reviews applications of VCOs in frequency translation and discusses challenges in designing low phase noise CMOS VCOs.
This document discusses logic families, which are groups of logic gates that have compatible logic levels and power supply characteristics. It then lists several common logic families such as RTL, DTL, ECL, TTL, PMOS, NMOS, CMOS, and BiCMOS. The document goes on to define basic concepts related to logic gates such as fan-in, fan-out, gate delay, wire delay, skew, logic levels, current levels, noise margin, rise/fall time, propagation delay, and power dissipation. It provides information on logic thresholds and outputs as well as gate transition times and current sink capabilities for different logic families.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
This document summarizes an approach called "Collision Free Intelligent Bloom Join Filters" for query optimization. The approach uses Bloom filters to reduce the number of rows from a table by representing the join attribute values as bits in a bit vector. It aims to minimize collisions that occur when multiple values hash to the same bit location. The approach uses a set of Bloom filters applied simultaneously to relations to fully process them. Experiments showed this approach reduces the number of rows and effects of collisions compared to previous approaches like semi-joins.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
This document presents research on an adaptive vague controller based power system stabilizer (AVCPSS). It begins with an abstract that describes using an Adaptive Network Based Vague Inference System (ANVIS) to develop an Adaptive Vague Set Based Controller Power System Stabilizer (AVCPSS) capable of providing stabilization signals over a wide range of operating conditions and disturbances. Section I provides further introduction and background. Section II describes vague set theory and vague controllers, while Section III details the development of a Vague Set Based Controller Power System Stabilizer (VCPSS). Section IV introduces ANVIS for implementing learning and adaptation. Section V discusses the AVCPSS developed using ANVIS. Results in Section VI show the
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
This document reviews different techniques for selecting web services for web service composition based on quality of service (QoS) requirements. It discusses four main approaches: 1) a composition requirement tree approach, 2) a selection process based on a mediator, 3) selection based on QoS value prediction, and 4) a tool framework for composite web services. It compares these approaches based on factors like what QoS criteria they consider, whether they can handle functionally equivalent and non-equivalent services, and if they utilize databases like UDDI for discovering services. The document concludes that the tool framework approach is best as it leverages UDDI and QoS brokers while also testing services.
This document analyzes stress relief features for reducing stresses at the root of spur gear teeth. It summarizes previous research on gear stresses and stress relief methods. A finite element analysis is conducted to evaluate stresses in a spur gear model with different stress relief feature configurations, including circular holes of varying size and location. The analysis found that two circular holes located at specific positions provided the greatest stress reduction of up to 15%, minimizing stresses at the root to increase fatigue life. Introducing multiple optimized stress relief features through computational modeling is an effective way to reduce gear tooth stresses and failure.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
A União Europeia está considerando novas regras para veículos autônomos. As regras propostas exigiriam que os fabricantes de veículos autônomos assumam mais responsabilidade por acidentes e garantam que os sistemas de direção sejam projetados para proteger os pedestres e ciclistas. Os legisladores da UE esperam que as novas regras ajudem a promover o desenvolvimento seguro de veículos autônomos na Europa.
This document provides an overview of the ASIC back-end design flow, including physical design steps like floorplanning, placement, clock tree synthesis, and routing. It describes how CAD tools like Astro are used to automate the complex physical design process and optimize a design for timing while meeting other constraints. Key aspects of the flow include floorplanning the design, performing timing-driven placement and routing, building clock trees, and verifying the final implementation against timing and functional requirements.
Reducing Silicon Real Estate and Switching Activity Using Low Power Test Patt...ijsrd.com
Power dissipation is a challenging problem for today's system-on-chip design and test. This paper presents a novel architecture which generates the test patterns with reduced switching activities; it has the advantage of low test power and low hardware overhead. The proposed LP-TPG (test pattern generator) structure consists of modified low power linear feedback shift register (LP-LFSR), m-bit counter, gray counter, NOR-gate structure and XOR-array. The seed generated from LP-LFSR is EXCLUSIVE-OR ed with the data generated from gray code generator. The XOR result of the sequence is single input changing (SIC) sequence, in turn reduces the switching activity and so power dissipation will be very less. The proposed architecture is simulated using Modelsim and synthesized using Xilinx ISE9.2.The Xilinx chip scope tool will be used to test the logic running on FPGA.
The document discusses power consumption in microprocessors and techniques for power reduction. It notes that dynamic power, which scales with the square of the supply voltage and operating frequency, makes up the majority of total power consumption. Clock circuitry alone can account for 15-45% of total power. Clock gating and data gating are introduced as approaches to reduce unnecessary switching activity and clock distribution by powering down unused modules. An example of applying clock gating at the architectural level is given to turn off parts of a processor's decode, execute, and load/store units to achieve considerable power reduction of up to 25%.
Power gating is the main power reduction techniques for the static power. As long as technology scaling is taking place, static power becomes paramount important factor to the VLSI designs.Therefore Power gating is the recent power reduction technique that is actively in research areas.
This document provides a mid-term report on the design and analysis of a voltage controlled oscillator (VCO) for a master's project. It discusses completing the circuit diagram, symbol creation, and test circuit simulation in Cadence using 180nm technology. Simulation results so far show the VCO design is one third complete, with layout and performance analysis remaining. The report includes background on VCO metrics like frequency, tuning range, phase noise, and power consumption. It also reviews applications of VCOs in frequency translation and discusses challenges in designing low phase noise CMOS VCOs.
This document discusses logic families, which are groups of logic gates that have compatible logic levels and power supply characteristics. It then lists several common logic families such as RTL, DTL, ECL, TTL, PMOS, NMOS, CMOS, and BiCMOS. The document goes on to define basic concepts related to logic gates such as fan-in, fan-out, gate delay, wire delay, skew, logic levels, current levels, noise margin, rise/fall time, propagation delay, and power dissipation. It provides information on logic thresholds and outputs as well as gate transition times and current sink capabilities for different logic families.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
This document summarizes an approach called "Collision Free Intelligent Bloom Join Filters" for query optimization. The approach uses Bloom filters to reduce the number of rows from a table by representing the join attribute values as bits in a bit vector. It aims to minimize collisions that occur when multiple values hash to the same bit location. The approach uses a set of Bloom filters applied simultaneously to relations to fully process them. Experiments showed this approach reduces the number of rows and effects of collisions compared to previous approaches like semi-joins.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
This document presents research on an adaptive vague controller based power system stabilizer (AVCPSS). It begins with an abstract that describes using an Adaptive Network Based Vague Inference System (ANVIS) to develop an Adaptive Vague Set Based Controller Power System Stabilizer (AVCPSS) capable of providing stabilization signals over a wide range of operating conditions and disturbances. Section I provides further introduction and background. Section II describes vague set theory and vague controllers, while Section III details the development of a Vague Set Based Controller Power System Stabilizer (VCPSS). Section IV introduces ANVIS for implementing learning and adaptation. Section V discusses the AVCPSS developed using ANVIS. Results in Section VI show the
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
This document reviews different techniques for selecting web services for web service composition based on quality of service (QoS) requirements. It discusses four main approaches: 1) a composition requirement tree approach, 2) a selection process based on a mediator, 3) selection based on QoS value prediction, and 4) a tool framework for composite web services. It compares these approaches based on factors like what QoS criteria they consider, whether they can handle functionally equivalent and non-equivalent services, and if they utilize databases like UDDI for discovering services. The document concludes that the tool framework approach is best as it leverages UDDI and QoS brokers while also testing services.
This document analyzes stress relief features for reducing stresses at the root of spur gear teeth. It summarizes previous research on gear stresses and stress relief methods. A finite element analysis is conducted to evaluate stresses in a spur gear model with different stress relief feature configurations, including circular holes of varying size and location. The analysis found that two circular holes located at specific positions provided the greatest stress reduction of up to 15%, minimizing stresses at the root to increase fatigue life. Introducing multiple optimized stress relief features through computational modeling is an effective way to reduce gear tooth stresses and failure.
IJERA (International journal of Engineering Research and Applications) is International online, ... peer reviewed journal. For more detail or submit your article, please visit www.ijera.com
A União Europeia está considerando novas regras para veículos autônomos. As regras propostas exigiriam que os fabricantes de veículos autônomos assumam mais responsabilidade por acidentes e garantam que os sistemas de direção sejam projetados para proteger os pedestres e ciclistas. Os legisladores da UE esperam que as novas regras ajudem a promover o desenvolvimento seguro de veículos autônomos na Europa.
Slide li análise de contexto de ensino e aprendizado na escola pública 2Myrian Conor
O documento analisa os desafios do ensino de inglês na escola pública brasileira, identificando falta de estrutura, materiais e motivação entre professores e alunos. Questionários com alunos mostraram interesse em atividades dinâmicas, mas dificuldades com interpretação de texto devido à falta de recursos. Melhorias no ensino de inglês requerem mudanças na educação e novas abordagens dos professores.
Автоматизация внутренней перелинковки - Антон ИвановЛеонид Гроховский
Содержание:
- Зачем нужна автоматизация внутренней перелинковки
- Как?
- Умная перелинковка
- Автоматизация на коленке
- Проблемы и их решение
Более подробную информацию Вы можете получить на полном курсе SEO: http://www.topexpert.pro/seo-kurs.html
El documento define un repositorio como un sitio centralizado para almacenar y mantener información digital como bases de datos o archivos informáticos. Explica que los datos almacenados pueden distribuirse a través de una red, ser de acceso público o privado, y tener copias de seguridad. Además, describe diferentes tipos de repositorios como los institucionales, de software, audio y video. Finalmente, destaca las ventajas de los repositorios institucionales como aumentar el impacto de la investigación y facilitar que los usuarios encuentren información.
enhancement of low power pulse triggered flip-flop design based on signal fee...Kumar Goud
Abstract: Low Power research major concern in today’s VLSI word. Practically, clocking system like flip-flop (FF) consumes large portion of total chip power. So in this paper we discuss about the design of the clock system using novel Flip-Flop design. In this paper, a novel low-power pulse-triggered flip-flop (FF) design is presented. Pulse- triggered FF (P-FF) has been considered as a popular alternative to the conventional master –slave based F. a low-power flip-flop (FF) design featuring an explicit type pulse-triggered structure and a modified true single phase clock latch based on a signal feed-through scheme is presented. The proposed design successfully solves the long discharging path problem in conventional explicit type pulse-triggered FF (P-FF) designs and achieves better speed and power performance in the applications of high speed. These circuits are simulated using Tanner Tools with TSMC018 technology.
Keywords: pulse-triggered flip-flop (FF), true single phase clock latch, clocking system
Design and Analysis of Sequential Elements for Low Power Clocking System with...IJERA Editor
This document summarizes techniques for designing sequential elements for low power clocking systems. It describes conditional capture flip-flops, conditional discharge flip-flops, conditional data mapping flip-flops, and a proposed clock pair shared flip-flop design. Simulation results show the clock pair shared flip-flop uses the fewest clocked transistors and achieves a 12.84% power savings over other designs. The document also proposes applying dual sleep and sleepy stack techniques to existing flip-flop designs to reduce static power consumption from leakage currents.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
A new area and power efficient single edge triggered flip flop structure for ...Alexander Decker
The document proposes a new area and power efficient single edge triggered flip-flop structure and compares it to six existing designs. The proposed design reduces the number of transistors to decrease area and the number of clocked transistors to minimize power consumption. Simulation results show the proposed flip-flop has the lowest transistor count and area. It achieves up to 61.53% improvement in power consumption compared to other designs and is best suited for low power, low area, low data activity, and high frequency applications.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
DESIGN OF A LOW POWER MULTIBAND CLOCK DISTRIBUTION CIRCUIT USING SINGLE PHASE...IJERA Editor
The clock distribution network consumes nearly 70% of the total power consumed by the integrated circuit since
this is the only signal which has the highest switching activity. Normally for a multiband clock domain network
we develop a multiple PLL to cater the need. This project aim for developing a low power true single phase
clock(TSPC) multiband network which will supply for the multi clock domain network. In this paper, a wide
band 2/3 prescaler is verified in the design of proposed wide band multimodulus 32/33/47/48 or 64/65/78/79
prescaler. A dynamic logic multiband flexible integer-n divider based on pulse swallow topology is proposed
which uses a low power wide band 2/3 prescaler and a wide band multimodulus 32/33/47/48 or 64/65/78/79
prescaler. Since the multimodulus 32/33/47/48 or 64/65/78/79 prescaler has a maximum operating frequency of
6.2GHz, the values of P and S counters can actually be programmed to divide over the whole range of
frequencies. However the P and S counter are programmed accordingly. The proposed multiband flexible
divider also uses an improved loadable bit cell for swallow counter and consumes a power of 0.96 and 2.2mW.
This project is highly useful and recommended for communication applications like Bluetooth, Zigbee, IEEE
802.15.4 and 802.11 a/b/g WLAN frequency synthesizers which are proposed based on pulse swallow topology.
This design is modelled using Verilog simulated tool „MODELSIM 6.4b‟ and implemented and synthesized
using „Xilinx ISE 10.1‟.
FPGA IMPLEMENTATION OF UNIVERSAL SHIFT REGISTER FOR ASYNCHRONOUS DATA SAMPLINGEditor IJMTER
Now a days power consumption plays a vital role in vlsi circuits. The growing market of
mobiles, battery-powered electronic devices demands the design of electronic circuits with low
power consumption.To design a low power circuit, energy efficiency from the clock element is an
important issue.There are different technique for the energy efficiency. One technique for efficiency
is the use of double edge-triggered flip-flops (DETFFs), since they can maintain the same throughput
as single edge-triggered flip-flops (SETFFs) while only using half of the clock frequency. Doubleedge triggered (DET) flip-flops are bistable flip-flop circuits in which data is latched at either edge of
the clock signal. Using such flip-flops permits the rate of data processing to be preserved while using
lower clock. Therefore, power consumption in DETFF based circuit may be reduced. The DETFF
designs provide the best performance not only in power but also in speed. Clock gating is another
well-accepted technique to reduce the dynamic power of idle modules or idle cycles, like the power
wasted by timing components during the time when the system is idle. Clock gating means disabling
the clock signal when the input data does not alter the stored data. However, incorporating clock
gating with DETFFs to further reduce dynamic power consumption introduces an asynchronous data
sampling (i.e., a change in output between clock edges). In this paper are discussing two different
methods to avoid asynchronous data sampling and implement this using universal shift register.
This document describes a proposed new dual edge-triggered D-type flip-flop circuit design with low power consumption. The design achieves dual edge-triggering using two parallel data paths that operate on opposite clock phases. It uses a latch circuit structure with differential input data signals, which reduces capacitance on the clock line. Simulation results show the proposed design has lower power consumption than several existing dual edge-triggered flip-flop designs under different operating conditions, making it well-suited for low-power applications.
DUAL EDGE-TRIGGERED D-TYPE FLIP-FLOP WITH LOW POWER CONSUMPTIONijcsit
In this paper, a novel low-power dual edge-triggered (DET) D-type flip-flop is proposed. This design achieves dual edge-triggered with two parallel data paths work in opposite phases of the clock single. Among them, a latch circuit structure employs differential input data signals which deposits very little capacitance on the clock line is accomplished. For fair comparison, four previously reported DET flipflops along with the proposed DETFF (DET flip-flop) are compared in terms of power consumption and power-delay product (PDP), under different data activities and different data rates. Several HSPICE simulation results show that the proposed DETFF is superior in power reduction at different parameters as compared to the existing DETFFs. Hence, the proposed DETFF is well suited for low power applications.
Efficient Method of Power Saving Topologically-Compressed With 21Transistor’s...IJMTST Journal
The increasing market trends of extremely low power operated handy applications like laptop, electronic gadgets etc requires microelectronic devices with low power consumption. It is obvious that the transistor dimensions continues to shrink and as require for more complex chips increases, power management of such deep sub-micron based chip is one of the major challenges in VLSI industry. The manufacturers are always targeting for low power designs for the reason that to provide adequate physical resources to withstand against design hurdles and this lead to increases the cost and restrict the functionality of the device. This power reduction ratio is the highest among FFs that have been reported so far. The reduction is achieved by applying topological compression technique, merger of logically equivalent transistors to an eccentric latch structure. Fewer transistors, only three, connected to clock signal which reduces the power drastically, and the smaller total transistor count assures to retain the chip area as conventional FFs. In addition, fully static full-swing operation makes the cell lenient of supply voltage and input slew variation. An experimental chip design with 40 nm CMOS technology shows that almost all conventional FFs are expendable with proposed FF while preserving the same system performance and layout area. The performance of this paper is evaluated on the design simulation using HSPICE simulator.
Area Efficient Pulsed Clocks & Pulsed Latches on Shift Register TannerIJMTST Journal
This paper introduced a design and implementation of shift register using pulsed latches and flip-flops. As
flip-flop based shift registers requires a clock signal to operate. Multistage flip-flop processes with high clock
switching activity and then increases time latency. Flip-flops also engages fifty percent power out of total
circuit power in clocking. To reduce such power consumptions and to achieve area optimization flip-flops are
replaced by pulsed latches. The design is implemented with 250nm technology in Tanner EDA Tool. With
Vdd=1.8V, Freq=100MHz. Average power of total circuit is 0.465uW and delay of 0.312 us.
The document describes a proposed design for a low power implicit pulse triggered flip-flop (P-FF). P-FFs have advantages over conventional master-slave FFs in high-speed applications and can reduce clock power consumption. The proposed design uses only transistor switching logic with 8 transistors, reducing power and area compared to other P-FF designs. Simulations show the proposed design has lower power consumption of 2.339μW compared to other designs. The design achieves lower power and area through a reduced transistor count.
Impact of Hybrid Pass-Transistor Logic (HPTL) on Power, Delay and Area in VL...IJMER
Abstract: Power reduction is a serious concern now days. As the MOS devices are wide spread, there is
high need for circuits which consume less power, mainly for portable devices which run on batteries, like
Laptops and hand-held computers. The Pass-Transistor Logic (PTL) is a better way to implement circuits
designed for low power applications.
This document discusses the impact of hybrid pass-transistor logic (HPTL) on power, delay, and area in VLSI design. It first describes how PTL can help reduce power consumption through reducing switching activity, capacitance, voltage, and short-circuit current. It then outlines the implementation of a 32x32 bit multiplier using PTL, CMOS, and a new transmission gate-based design. Simulation results show the PTL design has lower power consumption and area overhead compared to CMOS, with reasonable delays. Finally, a new conditional enhancement scheme for PTL flip-flops is proposed to further reduce transistors, speed up pulsing, decrease delay, and lower power consumption.
Design and Analysis of Sequential Circuit for Leakage Power Reduction using S...ijsrd.com
The rapid growth in semiconductor device industry has led to the development of high Performance potable systems with improve reliability. In such applications, it is extremely important to minimize current consumption due to the limited availability of battery Power. Consequently, power dissipation is becoming recognized as a top priority issue for VLSI circuit design. Leakage power makes up to 50% of the total power consumption in today's high performance microprocessors. Therefore leakage power reduction becomes the key to a low power design. Leakage power dissipation is the power dissipated by the circuit when it is in Sleep mode or standby mode. A significant portion of the total power consumption in high performance digital circuits in deep submicron regime is mainly due to leakage power. Leakage is the only Source. of power consumption in an idle circuit. Therefore it is important to reduce leakage power in portable system.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Iaetsd design of a low power multiband clock distribution circuitIaetsd Iaetsd
This document describes the design of a low power multiband clock distribution circuit using a single phase clock. It proposes a dynamic logic divider based on pulse swallow topology that uses a low power 2/3 prescaler and multimodulus 32/33/47/48 prescaler. The divider allows programming to divide over a wide range of frequencies for applications like Bluetooth, Zigbee, and WiFi. It is modeled in Verilog and implemented using Xilinx tools with a power consumption of 0.96-2.2mW.
The document describes the design and simulation of energy recovery flip-flops that operate with a single-phase sinusoidal clock to reduce power consumption. It proposes differential and single-ended conditional capturing energy recovery flip-flop designs that remove transistors to reduce size and delay as compared to previous designs. Simulation results in a 180nm technology show the proposed flip-flops achieve up to 47% power reduction and 80% delay reduction compared to conventional designs, and clock tree power reduction of 90% when using an energy recovery sinusoidal clock generator. A pipelined array multiplier was also designed to demonstrate total power savings of 25-69% compared to a design using square wave clocking.
The document proposes and evaluates energy recovery flip-flops that operate with a single-phase sinusoidal clock to reduce power consumption. A resonant clock generator is designed to produce the sinusoidal clock signal. Simulations show the proposed flip-flops achieve over 80% delay reduction and 47% power reduction compared to conventional designs. An H-tree clock network distributes the sinusoidal clock signal. Total power savings of up to 80% are achieved compared to square wave clocking schemes. Clock gating is also proposed to further reduce power when the flip-flops are inactive.
Design and Implementation of Low Power Adiabatic System for Power Recycling i...ijtsrd
Frequency divider to generate a frequency that is a multiple of a reference frequency. The latch based frequency divider are cascaded the two static differential sense amplifier pulsed latch SSA SPL with body biasing techniques. The operation of this type divider is to reduce power, delay and transistor size. The Adiabatic techniques dramatically reduce power consumption. This paper presents ECRL Efficient Charge Recovery Logic latch based frequency divider, which provides a contentment achievement. The architecture is combined two latches with feedback. Thus, frequency division is done. The circuit designed and simulation can be done in TANNER EDA. K. Mahalakshmi | S. Jabeentaj | V. Kaviyamalai | Mr. R. Thirumurugan "Design and Implementation of Low Power Adiabatic System for Power Recycling in Frequency Divider" Published in International Journal of Trend in Scientific Research and Development (ijtsrd), ISSN: 2456-6470, Volume-4 | Issue-5 , August 2020, URL: https://www.ijtsrd.com/papers/ijtsrd32953.pdf Paper Url :https://www.ijtsrd.com/engineering/electronics-and-communication-engineering/32953/design-and-implementation-of-low-power-adiabatic-system-for-power-recycling-in-frequency-divider/k-mahalakshmi
Ocean lotus Threat actors project by John Sitima 2024 (1).pptxSitimaJohn
Ocean Lotus cyber threat actors represent a sophisticated, persistent, and politically motivated group that poses a significant risk to organizations and individuals in the Southeast Asian region. Their continuous evolution and adaptability underscore the need for robust cybersecurity measures and international cooperation to identify and mitigate the threats posed by such advanced persistent threat groups.
Generating privacy-protected synthetic data using Secludy and MilvusZilliz
During this demo, the founders of Secludy will demonstrate how their system utilizes Milvus to store and manipulate embeddings for generating privacy-protected synthetic data. Their approach not only maintains the confidentiality of the original data but also enhances the utility and scalability of LLMs under privacy constraints. Attendees, including machine learning engineers, data scientists, and data managers, will witness first-hand how Secludy's integration with Milvus empowers organizations to harness the power of LLMs securely and efficiently.
Cosa hanno in comune un mattoncino Lego e la backdoor XZ?Speck&Tech
ABSTRACT: A prima vista, un mattoncino Lego e la backdoor XZ potrebbero avere in comune il fatto di essere entrambi blocchi di costruzione, o dipendenze di progetti creativi e software. La realtà è che un mattoncino Lego e il caso della backdoor XZ hanno molto di più di tutto ciò in comune.
Partecipate alla presentazione per immergervi in una storia di interoperabilità, standard e formati aperti, per poi discutere del ruolo importante che i contributori hanno in una comunità open source sostenibile.
BIO: Sostenitrice del software libero e dei formati standard e aperti. È stata un membro attivo dei progetti Fedora e openSUSE e ha co-fondato l'Associazione LibreItalia dove è stata coinvolta in diversi eventi, migrazioni e formazione relativi a LibreOffice. In precedenza ha lavorato a migrazioni e corsi di formazione su LibreOffice per diverse amministrazioni pubbliche e privati. Da gennaio 2020 lavora in SUSE come Software Release Engineer per Uyuni e SUSE Manager e quando non segue la sua passione per i computer e per Geeko coltiva la sua curiosità per l'astronomia (da cui deriva il suo nickname deneb_alpha).
Have you ever been confused by the myriad of choices offered by AWS for hosting a website or an API?
Lambda, Elastic Beanstalk, Lightsail, Amplify, S3 (and more!) can each host websites + APIs. But which one should we choose?
Which one is cheapest? Which one is fastest? Which one will scale to meet our needs?
Join me in this session as we dive into each AWS hosting service to determine which one is best for your scenario and explain why!
Ivanti’s Patch Tuesday breakdown goes beyond patching your applications and brings you the intelligence and guidance needed to prioritize where to focus your attention first. Catch early analysis on our Ivanti blog, then join industry expert Chris Goettl for the Patch Tuesday Webinar Event. There we’ll do a deep dive into each of the bulletins and give guidance on the risks associated with the newly-identified vulnerabilities.
GraphRAG for Life Science to increase LLM accuracyTomaz Bratanic
GraphRAG for life science domain, where you retriever information from biomedical knowledge graphs using LLMs to increase the accuracy and performance of generated answers
Unlock the Future of Search with MongoDB Atlas_ Vector Search Unleashed.pdfMalak Abu Hammad
Discover how MongoDB Atlas and vector search technology can revolutionize your application's search capabilities. This comprehensive presentation covers:
* What is Vector Search?
* Importance and benefits of vector search
* Practical use cases across various industries
* Step-by-step implementation guide
* Live demos with code snippets
* Enhancing LLM capabilities with vector search
* Best practices and optimization strategies
Perfect for developers, AI enthusiasts, and tech leaders. Learn how to leverage MongoDB Atlas to deliver highly relevant, context-aware search results, transforming your data retrieval process. Stay ahead in tech innovation and maximize the potential of your applications.
#MongoDB #VectorSearch #AI #SemanticSearch #TechInnovation #DataScience #LLM #MachineLearning #SearchTechnology
Introduction of Cybersecurity with OSS at Code Europe 2024Hiroshi SHIBATA
I develop the Ruby programming language, RubyGems, and Bundler, which are package managers for Ruby. Today, I will introduce how to enhance the security of your application using open-source software (OSS) examples from Ruby and RubyGems.
The first topic is CVE (Common Vulnerabilities and Exposures). I have published CVEs many times. But what exactly is a CVE? I'll provide a basic understanding of CVEs and explain how to detect and handle vulnerabilities in OSS.
Next, let's discuss package managers. Package managers play a critical role in the OSS ecosystem. I'll explain how to manage library dependencies in your application.
I'll share insights into how the Ruby and RubyGems core team works to keep our ecosystem safe. By the end of this talk, you'll have a better understanding of how to safeguard your code.
UiPath Test Automation using UiPath Test Suite series, part 6DianaGray10
Welcome to UiPath Test Automation using UiPath Test Suite series part 6. In this session, we will cover Test Automation with generative AI and Open AI.
UiPath Test Automation with generative AI and Open AI webinar offers an in-depth exploration of leveraging cutting-edge technologies for test automation within the UiPath platform. Attendees will delve into the integration of generative AI, a test automation solution, with Open AI advanced natural language processing capabilities.
Throughout the session, participants will discover how this synergy empowers testers to automate repetitive tasks, enhance testing accuracy, and expedite the software testing life cycle. Topics covered include the seamless integration process, practical use cases, and the benefits of harnessing AI-driven automation for UiPath testing initiatives. By attending this webinar, testers, and automation professionals can gain valuable insights into harnessing the power of AI to optimize their test automation workflows within the UiPath ecosystem, ultimately driving efficiency and quality in software development processes.
What will you get from this session?
1. Insights into integrating generative AI.
2. Understanding how this integration enhances test automation within the UiPath platform
3. Practical demonstrations
4. Exploration of real-world use cases illustrating the benefits of AI-driven test automation for UiPath
Topics covered:
What is generative AI
Test Automation with generative AI and Open AI.
UiPath integration with generative AI
Speaker:
Deepak Rai, Automation Practice Lead, Boundaryless Group and UiPath MVP
TrustArc Webinar - 2024 Global Privacy SurveyTrustArc
How does your privacy program stack up against your peers? What challenges are privacy teams tackling and prioritizing in 2024?
In the fifth annual Global Privacy Benchmarks Survey, we asked over 1,800 global privacy professionals and business executives to share their perspectives on the current state of privacy inside and outside of their organizations. This year’s report focused on emerging areas of importance for privacy and compliance professionals, including considerations and implications of Artificial Intelligence (AI) technologies, building brand trust, and different approaches for achieving higher privacy competence scores.
See how organizational priorities and strategic approaches to data security and privacy are evolving around the globe.
This webinar will review:
- The top 10 privacy insights from the fifth annual Global Privacy Benchmarks Survey
- The top challenges for privacy leaders, practitioners, and organizations in 2024
- Key themes to consider in developing and maintaining your privacy program
Salesforce Integration for Bonterra Impact Management (fka Social Solutions A...Jeffrey Haguewood
Sidekick Solutions uses Bonterra Impact Management (fka Social Solutions Apricot) and automation solutions to integrate data for business workflows.
We believe integration and automation are essential to user experience and the promise of efficient work through technology. Automation is the critical ingredient to realizing that full vision. We develop integration products and services for Bonterra Case Management software to support the deployment of automations for a variety of use cases.
This video focuses on integration of Salesforce with Bonterra Impact Management.
Interested in deploying an integration with Salesforce for Bonterra Impact Management? Contact us at sales@sidekicksolutionsllc.com to discuss next steps.
Skybuffer SAM4U tool for SAP license adoptionTatiana Kojar
Manage and optimize your license adoption and consumption with SAM4U, an SAP free customer software asset management tool.
SAM4U, an SAP complimentary software asset management tool for customers, delivers a detailed and well-structured overview of license inventory and usage with a user-friendly interface. We offer a hosted, cost-effective, and performance-optimized SAM4U setup in the Skybuffer Cloud environment. You retain ownership of the system and data, while we manage the ABAP 7.58 infrastructure, ensuring fixed Total Cost of Ownership (TCO) and exceptional services through the SAP Fiori interface.
1. Akhil Simon Thomas, Vishnu G Nair / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.2259-2263
2259 | P a g e
Design of an Implicit Pulse Triggered Flip-Flop Using PTL Based
and Logic for Space Applications.
Akhil Simon Thomas*, Vishnu G Nair**
*(Department of Electronics and Communication, Anna University, Coimbatore-46
** (Department of Aeronautical and Automobile Engineering, MIT,Manipal.
ABSTRACT
In this paper, a novel low-power pulse-
triggered flip-flop (FF) design for space
applications is presented. In this the clock
generation circuitry an AND function is removed
and is replaced with a Pass-Transistor logic based
AND gate. Since in the PTL-style AND gate the n-
mos transistors are in parallel they consume less
power and provides a faster discharge of the pulse
resulting in miniaturization and power
consumption reduction in satellites. A software
package called the TANNER EDA tools utilizing
MOSIS 90nm technology is used for the study.
Keywords - Flip-flop, low power, pulse-triggered.
I. INTRODUCTION
Flip-flops are critical timing elements in
digital circuits which have a large impact on the
circuit speed and power consumption. The
performance of flip-flop is an important element to
determine the efficiency of the whole synchronous
circuit.Miniaturization of digital circuits used in
satellites and in other space based systems is a
rapidly growing research area. In an attempt to
reduce power consumption in flip-flops a pulse
enhancement method is presented. An implicit type
pulse triggered flip-flop is designed using conditional
pulse enhancement scheme. The pulse generation
logic use two input AND gate at its discharge path
which reduces the circuit complexity and hence the
overall power is reduced. Pulses that trigger
discharging are generated only when there is a need,
so unwanted circuit activity due to glitches can be
avoided which reduces the overall power
consumption. Pulse discharge can be made faster.
The delay inverters which consume more power for
stretching the pulse width are replaced by the PMOS
transistors which enhances the pull down strength
when there is a longer discharge path. Transistor
sizes are also reduced to provide area and power
saving. Hiroshi Kawaguchi and Takayasu Sakurai In
[2] has proposed a reduced clock-swing flip-flop
(RCSFF) which is composed of a reduced swing
clock driver and a special flip-flop which embodies
the leak current cutoff mechanism. The RCSFF can
reduce the clock system power of a VLSI system
down to one-third compared to the conventional flip-
flop. The RCSFF is composed of a true single-phase
master-latch and a cross-coupled NAND slave-latch.
The master-latch is a current-latch-type sense-
amplifier. The salient feature of the RCSFF is that it
can accept a reduced voltage swing due to the single-
phase nature of the flip-flop.The literatures surveyed
can be summarized as follows.Bai-Sun Kong, Sam-
Soo Kim, and Young-Hyun Jun in [3] describes a
family of novel low- power flip-flops, collectively
called conditional-capture flip-flops (CCFFs). They
achieve statistical power reduction by eliminating
redundant transitions of internal nodes. These flip-
flops also have negative setup time and thus provide
small data-to-output latency and attribute of soft-
clock edge for overcoming clock skew-related cycle
time loss. The sense amplifier based flip-flop
described here operates much faster than the
conventional flip-flops.Peiyi Zhao, Tarek K. Darwis
and Magdy A. Bayoumi in [5] proposed a new flip-
flop the conditional discharge flip-flop (CDFF). It is
based on a new technology, known as the conditional
discharge technology. This CDFF not only reduces
the internal switching activities, but also generates
less glitches at the output. It uses a pulse generator
which is suitable for double-edge sampling. The flip-
flop is made up of two stages. Stage one is
responsible for capturing the LOW-to-HIGH
transition. Stage 2 captures the HIGH-to-LOW input
transition.Antonio G. M. Strollo, Davide De Caro,
Ettore Napoli, and Nicola Petra in [6] proposed a new
sense-amplifier-based flip-flop. The proposed flip-
flop provides ratioless design, reduced short-circuit
power dissipation, and glitch-free operation. The new
circuit has been successfully employed in a high-
speed direct digital frequency synthesizer chip, the
proposed circuit is able to keep the fast operation of
the Kim SAFF while avoiding its drawbacks. Ying-
Haw Shu, Shing Tenqchen, Ming-Chang Sun, and
Wu-Shiung Feng in [7] described XNOR-Based
Double-Edge-Triggered Flip-Flop. The XNOR-based
approaches are difficult to reach the speed demand
due to the delay of the XNOR-based clock generator.
Here a new designed DET-FF based on an alternative
XNOR gate is done, by utilizing the sensitivity to the
driving capacity of the previous stage, using this
simplified XNOR gate as a pulse-generator. A
modified transparent latch following the pulse-
generator acts as an XNOR-based DET-FF, which
accomplishes almost same speed and less power
dissipation as compared with the conventional DET-
FFs. The XNOR-based DET-FF has been also
implemented in a two-phase-pipeline system. Chen
2. Akhil Simon Thomas, Vishnu G Nair / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.2259-2263
2260 | P a g e
Kong Teh, Mototsugu Hamada, Tetsuya Fujita,
Hiroyuki Hara, Nobuyuki Ikumi, and Yukihito
Oowaki in [8] introduced a new family of low-power
and high-performance flip-flops, namely conditional
data mapping flip-flops (CDMFFs), which reduce the
dynamic power by mapping the inputs to a
configuration that eliminates redundant internal
transitions. Here two CDMFFs, with differential and
single-ended structures have been proposed and their
comparison with the conventional flip-flops is also
done.Hamid Mahmoodi, Vishy Tirumalashetty,
Matthew Cooke, and Kaushik Roy in [9] proposed
four novel energy recovery clocked flip-flops that
enable energy recovery from the clock network,
resulting in significant energy savings. The proposed
flip-flops operate with a single-phase sinusoidal
clock, which can be generated with high efficiency.
A sinusoidal clock signal for energy recovery is used
here for clock gating. Peiyi Zhao, Jason McNeely,
Weidong Kuang, Nan Wang, and Zhongfeng Wang
in [10], here various design techniques for a low
power clocking system are surveyed. Among them is
an effective way to reduce capacity of the clock load
by minimizing number of clocked transistors. To
approach this, a novel clocked pair shared flip-flop is
proposed which reduces the number of local clocked
transistors. In addition, low swing and double edge
clocking, can be easily incorporated into the new flip-
flop to build clocking systems. Saranya. L, Prof. S.
Arumugam in [11] proposed a Low-Power Pulse-
Triggered flip-flop. Here three kind of conventional
pulse-triggered flip-flop are designed. First, the
implicit Pulsed Data-Close to output (ip-DCO) pulse-
triggered flip-flop. Second, the Modified Version of
Hybrid latch flip-flop (MHLFF) and third is the
Single-ended Conditional Capturing Energy
Recovery (SCCER) flip-flop. Also the comparison of
low power pulse triggered flip-flops between
SAL,SVL logics are carried out.James Tschanz, Siva
Narendra, Zhanping Chen, Shekhar Borkar, Manoj
Sachdev, and Vivek De in [12] compared several
styles of single edge-triggered flip-flops, including
semi-dynamic and static with both implicit and
explicit pulse generation. Also an implicit-pulsed,
semi-dynamic flip-flop (ip-DCO) which has the
fastest delay of any flip-flop considered,Tania Gupta,
Rajesh Mehra in [13] proposed an explicit pulsed
double edge triggered sense amplifier flip-flop for the
low power and low delay. Redundant transitions are
eliminated by using the conditional technique named
conditional discharge technique. By using the fast
improved version of the nickolic latch along with the
sense amplifier approach a circuit with a low delay
factor was designed.R.Nithyalakshmi, P.Sivasankar
Rajamani in [14] presented a pulse enhancement
technique for the reduction in power consumption of
the flip-flop. An implicit type pulse triggered flip-
flop was designed and also have designed a Johnson
counter using the proposed flip-flop which provides a
glitch free decoding. Yin-Tsung Hwang, Jin-Fa Lin,
and Ming-Hwa Sheu in [1] proposed a novel low
power pulse triggered flip-flop. Here an implicit type
pulse triggered flip-flop with conditional pulse
enhancement scheme has been presented which uses
a pass transistor logic (PTL) based AND gate in the
discharging path which facilitates the discharge only
when needed. In this paper, a novel low-power pulse-
triggered flip-flop (FF) design is presented.In this the
clock generation circuitry an AND function is
removed and is replaced with a Pass-Transistor logic
based AND gate. Since in the PTL-style AND gate
the n-mos transistors are in parallel they consume
less power and provides a faster discharge of the
pulse.A software package called the TANNER EDA
tools utilizing MOSIS 90nm technology is used for
the study.
II. IMPLICIT TYPE PULSE
TRIGGERED FLIP-FLOP
In implicit type flip-flops the clock
distribution circuit is a built in logic and there is no
need for an external circuitry for the clock division
and distribution. Implicit type flip-flops consist of
two parts, a clock distribution network or clock tree
and a latch for data storage. Several low power
techniques are available which can be applied to the
pulse flip-flops they are conditional enhancement,
conditional capture and conditional data mapping.
Implicit-type designs, however, face a lengthened
discharging path in latch design, which leads to
inferior timing characteristics. The situation
deteriorates further when low-power techniques such
as conditional capture, conditional precharge,
conditional discharge, or conditional data mapping
are applied. As a consequence, the transistors of
pulse generation logic are often enlarged to assure
that the generated pulses are sufficiently wide to
trigger the data capturing of the latch.
III. IMPLICIT TYPE P-FF WITH
PULSE CONTROL SCHEME
Three conventional implicit pulse flip-flops
are discussed in this section.
3.1 IP-DCO (IMPLICIT PULSED-DATA CLOSE
TO OUTPUT)
The ip-DCO is an efficient design, is given
in Fig1. The pulse generator is AND based logic and
a semi-dynamic structured latch design which can
interface both dynamic and static structures.
Implementing logic designs are easy and delay
penalty is small. It occupies small area and also it
uses single phase clocking. It has Inverters I5 and I6
are used to latch data and inverters I7 and I8 are used
to hold the internal node. The complementary of the
clock signal is taken and it is delay skewed and
applied as the input to the pulse generator to generate
3. Akhil Simon Thomas, Vishnu G Nair / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.2259-2263
2261 | P a g e
a transparent window equal in size to the delay by
inverters I1-I3. There are two disadvantages. It has
larger switching power and there is a larger
capacitance load which causes speed and
performance degradation.
Figure 1 ip-DCO
3.2 MHLLF (MODIFIED HYBRID LATCH
FLIP-FLOP)
Static latch structure is employed.
Precharging of the node reduces the delay, but the
power consumption is increased. Here the periodical
precharging of node by the clock signal does not take
place. When Q is low, the node is maintained high
with the help of a weak pull-up transistor P1 which is
controlled by the FF output signal Q. The
unnecessary discharging problem at node is
eliminated by using this design. But during the “0” to
“1” transition, there is a longer Data-to-Q. This is
mainly because node is not pre-discharged. The area
consumption is high because we need larger
transistors to enhance the discharging capability.
When D=Q=1 there is extra power consumption
because of the floating nodes.
Figure 2. MHLLF
3.3 SCCER (SINGLE ENDED CONDITIONAL
CAPTURE ENERGY RECOVERY
The first paragraph under each heading or
subheading should be flush left, and subsequent
paragraphs should have SCCER is a design which is
a modification over the ip-DCO design. It uses a
conditional discharged technique in which the
discharge path is controlled by eliminating the
switching activity when the input stays in stable
HIGH. In this design, the back to back inverters
which is used instead of pull up and pull down
resistors is replaced by a weak pull up transistor P1
and inverter I2 to reduce the load capacitance of
node. The series connection of two nMOS transistors
N1 and N2 is used in the discharge path. An extra
nMOS transistor N3 is used to eliminate the
unwanted switching activity. The Q_fdbk is used to
control N3, so if D=1 there is no discharge. The
discharge path is long when the input data is “1”.
Figure 3. SCCER
3.4 PROPOSED MODEL
The proposed model is a implicit type pulse
triggered flip-flop with a conditional pulse
enhancement scheme.
There are two measures employed to
overcome the draw backs in the conventional
designs. Due to the presence of the large number of
transistors in the discharge path the delay is high and
also large power is consumed in power-up of the
transistors. So, the number of nMOS transistors in the
discharging path should be reduced. Also there is a
need to increase the pull down strength when the
input data=1. So there is a need to conditionally
enhance the pull down strength when input data is
“1.” This design inherits the upper part of the SCCER
design. Transistor stacking design of ip-DCO in
Figure 4.4 and SCCER in Figure 4.6, is replaced by
removing the transistor N2 from the discharging path.
Transistor N2 and N3 are connected in parallel to
form a two-input pass transistor logic (PTL)-based
AND. It controls the discharge of transistor N1. The
input to the AND logic is always complementary to
each other. As a result, the output node is kept at zero
4. Akhil Simon Thomas, Vishnu G Nair / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.2259-2263
2262 | P a g e
most of the time. There is a floating node when both
input signals equal to “0”. But it doesn’t provide any
harm to the circuit performance. The critical
circumstance occurs only when there is rising edges
at the clock pulse. Transistors N2 and N3 are turned
ON together in this case to pass a weak logic high to
node. This weak pulse strength is enhanced by
switching ON the transistor N1 by a time span equal
to the delay provided by inverter I1. The switching
power at node can be reduced due to a diminished
voltage swing. Unlike the MHLLF design, where the
discharge control signal is driven by a single
transistor, parallel conduction of two nMOS
transistors (N2 and N3) speeds up the operations of
pulse generation. On designing the flip-flop in this
way, the number of transistors in the discharging path
can be reduced. This speeds up the pulse generation
and hence delay is reduced. The area overhead is also
reduced.The flip-flop using the conditional
enhancement scheme is given in the Figure 4.
Figure 4. Proposed Model
Pulses that trigger discharging are generated
only when there is a need, so unwanted circuit
activity due to glitches can be avoided which reduces
the overall power consumption. Pulse discharge can
be made faster. The delay inverters which consume
more power for stretching the pulse width are
replaced by the PMOS transistors which enhances the
pull down strength when there is a longer discharge
path. Transistor sizes are also reduced to provide area
and power saving.
IV. RESULTS
All the conventional pulse-triggered flip flop
mentioned in fig 1 and the proposed model described
in 4 were analyzed using the TANNER EDA
utilizing the MOSIS 90nm.The waveforms of the
MHLLF and the proposed model of the conditional
pulse enhancement based pulse flip-flop were
simulated and the output shows that the conditional
pulse enhancement based flip-flop has taller pulses at
the node x.
Figure 5. Simulation Waveform of MHLLF
Figure 6. Simulation Waveform of the Proposed
Model
5. Akhil Simon Thomas, Vishnu G Nair / International Journal of Engineering Research and
Applications (IJERA) ISSN: 2248-9622 www.ijera.com
Vol. 3, Issue 4, Jul-Aug 2013, pp.2259-2263
2263 | P a g e
The graphs are in the order Average power
consumption spectrum, the output at the node X,
output at the node Z of the flip-flop, the output Q of
the flip-flop, the complement of the output, the input
clock signal and the input data
V. CONCLUSION
In this paper, a novel low-power pulse-
triggered flip-flop (FF) design is presented.In this the
clock generation circuitry an AND function is
removed and is replaced with a Pass-Transistor logic
based AND gate. Since in the PTL-style AND gate
the n-mos transistors are in parallel they consume
less power and provides a faster discharge of the
pulse.A software package called the TANNER EDA
tools utilizing MOSIS 90nm technology is used for
the study.The comparison of the Number of
transistors used and the Average power consumed for
100% activity, 50% activity and 0% activity are
done. The power consumed is for five cycles of
operation. The power consumption shows a
decreasing trend as the switching activities are
reduced.From the above results it is clear that this
type of design approach can be implemented in real
space systems to increase the efficiency as well as to
minimize the power consumption.
REFERENCES
[1] Yin-Tsung Hwang, Jin-Fa Lin, and Ming-
Hwa Sheu, 2012, “Low-Power Pulse-
Triggered Flip-Flop Design With
Conditional Pulse-Enhancement Scheme,”
Proc IEEE Transactions on Very Large
Scale Integration (VLSI) Systems, Vol. 20,
No. 2, pp. 361-366.
[2] Hiroshi Kawaguchi and Takayasu Sakurai,
1998, “A Reduced Clock-Swing Flip-Flop
(RCSFF) for 63% Power Reduction,” IEEE
Journal of Solid-State Circuits, Vol.33,No.5,
pp. 807-811.
[3] Bai-Sun Kong, Sam-Soo Kim, and Young-
Hyun Jun, 2001, “Conditional-Capture Flip-
Flop for Statistical Power Reduction,” Proc.
IEEE Journal of Solid-State Circuits, Vol.
36, NO. 8, pp. 1263- 1271.
[4] Samuel D.Naffziger, Glenn Colon-Bonet,
Timothy Fischer, Reid Riedlinger, Thomas
J. Sullivan, and Tom Grutkowski, 2002,
“The Implementation of the Itanium 2
Microprocessor,” IEEE Journal of Solid-
State Circuits, Vol.37,No.11, pp. 1448-1460.
[5] Peiyi Zhao, Tarek K. Darwish,and Magdy
A. Bayoumi, 2004, “High-Performance and
Low-Power Conditional Discharge Flip-
Flop”, IEEE Transactions On Very Large
Scale Integration (VLSI) Systems, Vol. 5,
No. 5, pp. 477-484.
[6] Antonio G. M. Strollo, Davide De Caro,
Ettore Napoli, and Nicola Petra,2006, “A
Novel High-Speed Sense-Amplifier-Based
Flip-Flop” IEEE Transactions On Very
Large Scale Integration (VLSI) Systems,
Vol. 13, No. 11,pp. 1266-1274
[7] Ying-Haw Shu, Shing Tenqchen, Ming-
Chang Sun, and Wu-Shiung Feng, 2006,
“XNOR-Based Double-Edge-Triggered
Flip-Flop for Two-Phase Pipelines”, IEEE
Transactions On Circuits and Systems—II,
Express Briefs, VOL. 53, NO. 2, pp. 138-
142.
[8] Chen Kong Teh, Mototsugu Hamada,
Tetsuya Fujita, Hiroyuki Hara, Nobuyuki
Ikumi, and Yukihito Oowaki, 2006,
“Conditional Data Mapping Flip-Flops for
Low-Power and High-Performance
Systems”, IEEE Transactions on Very Large
Scale Integration (VLSI) Systems, Vol. 14,
No. 12, pp. 1379-1383.
[9] Hamid Mahmoodi, Vishy Tirumalashetty,
Matthew Cooke, and Kaushik Roy, 2009
“Ultra Low-Power Clocking Scheme Using
Energy Recovery and Clock Gating,” Proc
IEEE Transactions on Very Large Scale
Integration(VLSI) Systems, Vol. 17, No. 1,
pp. 33-44.
[10] Peiyi Zhao, Jason McNeely, Weidong
Kuang, Nan Wang, and Zhongfeng Wang,
2011, “Design of Sequential Elements for
Low Power Clocking System,” IEEE
Transactions On Very Large Scale
Integration (VLSI) Systems, Vol. 19, No. 5,
pp. 914-918.
[11] Saranya. L, Prof. S. Arumugam, 2013,
“Optimization Of Power For Sequential
Elements In Pulse-Triggered Flip-Flop
Using Low Power Topologies,”
International Journal of Scientific &
Technology Research Volume 2, Issue 3.
[12] James Tschanz, Siva Narendra, Zhanping
Chen, Shekhar Borkar, Manoj Sachdev, and
Vivek De, “Comparative Delay and Energy
of Single Edge-Triggered & Dual Edge-
Triggered Pulsed Flip-Flops for High-
Performance Microprocessors,”
Microprocessor Research Labs, Intel
Corporation 5350 N.E. Elam Young
Parkway, Hillsboro, OR 97124, USA.
[13] Tania Gupta, Rajesh Mehra, 2012, “Low
Power Explicit Pulsed Conditional
Discharge Double Edge-Triggered Flip-
Flop,” International Journal of Scientific &
Technology Research Volume 3, Issue 11.
[14] R.Nithyalakshmi, P.Sivasankar Rajamani,
“Power Efficient Counter Design Using
Conditional Pulse-Enhancement Flip-
Flop”,2013, International Journal of
Engineering Science and Innovative
Technology, Volume 2, Issue 2.