This document summarizes a research paper that demonstrates a fully operational hybrid crossbar/CMOS system for data storage and neuromorphic applications. Key points:
1) A 40x40 memristor crossbar array is vertically integrated on a CMOS chip at high density (10 Gbits/cm2) without needing external transistors or diodes.
2) The crossbar array can reliably store and retrieve complex binary and multilevel bitmap images using the intrinsic nonlinear characteristics of the memristor elements to suppress sneak paths.
3) A new programming scheme allows multilevel storage by controlling the on-resistance of memory cells through different series resistances.
Research Inventy : International Journal of Engineering and Scienceresearchinventy
This document analyzes the write power consumption of single gate and dual gate MOS-based SRAM cells. It first discusses the issues with single gate MOSFETs related to stray capacitance and leakage current that increase write power. It then presents the design of a dual gate MOSFET cell and shows that dual gate MOSFETs require less operating voltage and decrease leakage current during write access compared to single gate cells. Simulation results of inverters, 1-bit SRAM cells, 8-bit SRAM arrays show the dual gate cells have lower write power consumption. A table compares the write power of single and dual gate 6T SRAM cells at different technology nodes.
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATESBUKYABALAJI
ABSTRACT: Reversible logic shows a great potential
in the design of Low-power circuits. Remarkable work
has been done in design of basic arithmetic circuits.
Present day progress in sequential circuit design of
reversible logic circuits has shown new ways in
performance of Static random access memory
(SRAM) and Dynamic random access memory
(DRAM). As the memory size is increasing
exponentially, the power absorbed by memory cells is
also growing rapidly. In recent years reversible logic
has achieved great interest because of its low power
performances. This paper proposes a new SRAM
c e l l which u s e s Feynman gates. The proposed
SRAM cell shows reduction of 66% in terms of
quantum cost, 66% reduction in quantum delay, 60%
reduction in number of gates count and 50% reduction
in number of transistors count
This document describes an integrated graphics accelerator and frame buffer chip. It integrates DRAM, pixel processing units (PPUs), and serial output registers directly into the DRAM architecture to achieve high bandwidth of up to 33GB/s. The PPUs perform basic pixel operations like raster operations. They are tightly pitch-matched to the DRAM columns. This allows wide parallel access and acceleration of graphics operations like block moves. The chip is implemented in a 0.35um blended logic/DRAM process and includes 13.4Mb of DRAM, 160k gates of logic, and supports screen resolutions up to 1280x1024x8bpp.
Large number of interconnection
requirement has become a major limitation to the designs
using binary logic. One of the solutions for this is MultipleValued
Logic (MVL). MVL proves to be advantageous as it
reduces dynamic power dissipation, increases computational
ability, data density and requires less number of
interconnects. In this paper, the implementation of a Static
Random Access Memory (SRAM) cell using a quaternary D
Latch is proposed. The D Latch is built using NMAX, NMIN
and quaternary inverter circuit. Using this SRAM cell a 4X4
SRAM array is constructed and is compared with 4X4 array
of Quaternary Static CMOS memory cell. The spice coding
is done using 0.18μm CMOS technology and verification of
the design is done through HSPICE and COSMOSSCOPE
Synopsis Tools. Power and delay of the circuit is analyzed.
An Novel Ultra Broad-Band Exponential Taper Transmission Line 8- Port NetworkIOSRJECE
An novel Ultra Broad-Band Exponential Taper Transmission Line 8- Port Networkis analyzed. The parameters of this developed network is calculated and its frequency responses are computed. Comparison between the simulated and measured parameters are presented
We have calculated the minimum chip area overhead and hence the bit density reduction. In this approach,
the number of faults in each line of a memory block (matrix) is counted, and the lines having the largest
number of defects are replaced with the spare lines. This method achieved by memory array reconfiguration
(bad bit exclusion), combined with error correction code techniques, in prospective terabit-scale hybrid
semiconductor/nanodevice memories, as a function of the nanodevice fabrication yield and the micro-to-nano
pitch ratio. The results show that by using the best (but hardly practicable) reconfiguration and block size
optimization, hybrid memories with a pitch ratio of 10 may overcome purely semiconductor memories in
useful bit density if the fraction of bad nanodevices is below ∼15%, while in order to get an
order-of-magnitude advantage in density, the number of bad devices has to be decreased to ∼2%. For the
simple ‘Repair Most’ technique of bad bit exclusion, complemented with the Hamming-code error correction,
these numbers are close to 2% and 0.1%, respectively. When applied to purely semiconductor memories, the
same technique allows us to reduce the chip area ‘swelling’ to just 40% at as many as 0.1% of bad devices.
We have also estimated the power and speed of the hybrid memories and have found that, at a reasonable
choice of nanodevice resistance, both the additional power and speed loss due to the nanodevice subsystem
may be negligible.
A Simulation Based Analysis of Lowering Dynamic Power in a CMOS Inverteridescitation
With the increase in demand of high fidelity
portable devices, there is more and more emphasis laying
down on the development of low power and high performance
systems. In the next generation processors, the low power
design has to be incorporated into fundamental computation
units, such as adder. CMOS circuit design plays a crucial role
in designing of these computation units (like adder and
multiplier) so if there is any optimal way to reduce the power
dissipation in CMOS circuits then it will directly lower down
the power dissipation of other circuits and logic gates as well.
In this paper we have studied and analyzed different
techniques to reduce the dynamic power of CMOS circuit
with the help of performing simulation on some significant
factors (i.e device characteristics) of respective circuitry
designs by using Cadence-Virtuoso tool.
This document presents a design for a low power 4-bit binary coded decimal (BCD) adder using a 14-transistor full adder circuit. It begins with background on BCD adders and issues with conventional designs. It then evaluates several existing full adder circuit designs before presenting a novel 14-transistor design with good driving capability. A 4-bit BCD adder is built using this full adder and simulated in 50nm technology. Results show the proposed design reduces power consumption to 0.03μW compared to conventional designs, with delay also reduced to 8ps. In conclusion, using a 14-transistor full adder improves performance metrics of power and delay for BCD addition
Research Inventy : International Journal of Engineering and Scienceresearchinventy
This document analyzes the write power consumption of single gate and dual gate MOS-based SRAM cells. It first discusses the issues with single gate MOSFETs related to stray capacitance and leakage current that increase write power. It then presents the design of a dual gate MOSFET cell and shows that dual gate MOSFETs require less operating voltage and decrease leakage current during write access compared to single gate cells. Simulation results of inverters, 1-bit SRAM cells, 8-bit SRAM arrays show the dual gate cells have lower write power consumption. A table compares the write power of single and dual gate 6T SRAM cells at different technology nodes.
PERFORMANCE ANALYSIS OF SRAM CELL USING REVERSIBLE LOGIC GATESBUKYABALAJI
ABSTRACT: Reversible logic shows a great potential
in the design of Low-power circuits. Remarkable work
has been done in design of basic arithmetic circuits.
Present day progress in sequential circuit design of
reversible logic circuits has shown new ways in
performance of Static random access memory
(SRAM) and Dynamic random access memory
(DRAM). As the memory size is increasing
exponentially, the power absorbed by memory cells is
also growing rapidly. In recent years reversible logic
has achieved great interest because of its low power
performances. This paper proposes a new SRAM
c e l l which u s e s Feynman gates. The proposed
SRAM cell shows reduction of 66% in terms of
quantum cost, 66% reduction in quantum delay, 60%
reduction in number of gates count and 50% reduction
in number of transistors count
This document describes an integrated graphics accelerator and frame buffer chip. It integrates DRAM, pixel processing units (PPUs), and serial output registers directly into the DRAM architecture to achieve high bandwidth of up to 33GB/s. The PPUs perform basic pixel operations like raster operations. They are tightly pitch-matched to the DRAM columns. This allows wide parallel access and acceleration of graphics operations like block moves. The chip is implemented in a 0.35um blended logic/DRAM process and includes 13.4Mb of DRAM, 160k gates of logic, and supports screen resolutions up to 1280x1024x8bpp.
Large number of interconnection
requirement has become a major limitation to the designs
using binary logic. One of the solutions for this is MultipleValued
Logic (MVL). MVL proves to be advantageous as it
reduces dynamic power dissipation, increases computational
ability, data density and requires less number of
interconnects. In this paper, the implementation of a Static
Random Access Memory (SRAM) cell using a quaternary D
Latch is proposed. The D Latch is built using NMAX, NMIN
and quaternary inverter circuit. Using this SRAM cell a 4X4
SRAM array is constructed and is compared with 4X4 array
of Quaternary Static CMOS memory cell. The spice coding
is done using 0.18μm CMOS technology and verification of
the design is done through HSPICE and COSMOSSCOPE
Synopsis Tools. Power and delay of the circuit is analyzed.
An Novel Ultra Broad-Band Exponential Taper Transmission Line 8- Port NetworkIOSRJECE
An novel Ultra Broad-Band Exponential Taper Transmission Line 8- Port Networkis analyzed. The parameters of this developed network is calculated and its frequency responses are computed. Comparison between the simulated and measured parameters are presented
We have calculated the minimum chip area overhead and hence the bit density reduction. In this approach,
the number of faults in each line of a memory block (matrix) is counted, and the lines having the largest
number of defects are replaced with the spare lines. This method achieved by memory array reconfiguration
(bad bit exclusion), combined with error correction code techniques, in prospective terabit-scale hybrid
semiconductor/nanodevice memories, as a function of the nanodevice fabrication yield and the micro-to-nano
pitch ratio. The results show that by using the best (but hardly practicable) reconfiguration and block size
optimization, hybrid memories with a pitch ratio of 10 may overcome purely semiconductor memories in
useful bit density if the fraction of bad nanodevices is below ∼15%, while in order to get an
order-of-magnitude advantage in density, the number of bad devices has to be decreased to ∼2%. For the
simple ‘Repair Most’ technique of bad bit exclusion, complemented with the Hamming-code error correction,
these numbers are close to 2% and 0.1%, respectively. When applied to purely semiconductor memories, the
same technique allows us to reduce the chip area ‘swelling’ to just 40% at as many as 0.1% of bad devices.
We have also estimated the power and speed of the hybrid memories and have found that, at a reasonable
choice of nanodevice resistance, both the additional power and speed loss due to the nanodevice subsystem
may be negligible.
A Simulation Based Analysis of Lowering Dynamic Power in a CMOS Inverteridescitation
With the increase in demand of high fidelity
portable devices, there is more and more emphasis laying
down on the development of low power and high performance
systems. In the next generation processors, the low power
design has to be incorporated into fundamental computation
units, such as adder. CMOS circuit design plays a crucial role
in designing of these computation units (like adder and
multiplier) so if there is any optimal way to reduce the power
dissipation in CMOS circuits then it will directly lower down
the power dissipation of other circuits and logic gates as well.
In this paper we have studied and analyzed different
techniques to reduce the dynamic power of CMOS circuit
with the help of performing simulation on some significant
factors (i.e device characteristics) of respective circuitry
designs by using Cadence-Virtuoso tool.
This document presents a design for a low power 4-bit binary coded decimal (BCD) adder using a 14-transistor full adder circuit. It begins with background on BCD adders and issues with conventional designs. It then evaluates several existing full adder circuit designs before presenting a novel 14-transistor design with good driving capability. A 4-bit BCD adder is built using this full adder and simulated in 50nm technology. Results show the proposed design reduces power consumption to 0.03μW compared to conventional designs, with delay also reduced to 8ps. In conclusion, using a 14-transistor full adder improves performance metrics of power and delay for BCD addition
3D IC technology stacks multiple silicon layers vertically using through-silicon vias to connect the layers. This reduces wire lengths and interconnect delays which are becoming a dominant factor in chip performance. Challenges include thermal issues due to increased power density, electromagnetic interference, and reliability concerns from stresses between layers. Design tools are needed to fully utilize 3D ICs and optimize critical paths, mixed-signal partitioning, and physical design across multiple layers.
This document presents a new 5-transistor (5T) CMOS SRAM cell design for high-speed applications. It summarizes the key limitations of conventional 6T SRAM cells and previous 5T cell designs in deep sub-micron technologies. The proposed 5T cell aims to improve stability, power dissipation, and performance over previous designs. It operates by precharging bitlines to ground in standby mode and using an additional transistor for read/write operations instead of wordline transistors. Simulations show the proposed 5T cell has better static noise margin than 6T cells, indicating improved stability during read operations. This makes it suitable for high-speed, high-stability memory in modern processors and systems-
DWS MODELING OF MULTICONDUCTOR TRANSMISSION LINESPiero Belforte
This document summarizes different methods for simulating multiconductor transmission lines (MTL) using the DWM simulation tool SPRINT. It describes the general modal method, which applies to nonhomogeneous and asymmetrical structures using modal voltages and currents. For homogeneous structures, it presents the Marx method and tridiagonal method. It compares SPRINT's implementation of the modal and Marx methods for a simple 3-conductor line, finding good agreement with SPICE. SPRINT allows more efficient simulation of large MTL networks compared to SPICE.
Analysis Of 3C-Sic Double Implanted MOSFET With Gaussian Profile Doping In Th...IJRES Journal
The present work aims at the design of 3C-SiC Double Implanted Metal Oxide Semiconductor Field Effect Transistor (DIMOSFET) with Gaussian doping profile in drift region for high breakdown voltages. By varying the device height ‘h’, function constant m and peak concentration 𝑁0, analysis has been done for an optimum profile for high breakdown voltage. With Gaussian profile peak concentration 𝑁0 = 1016 𝑐𝑚−3 at drain end and m as 1.496 ×10−2cm, highest breakdown voltage of 6.84kV has been estimated with device height of 200μm.
This document summarizes a research paper that designed, modeled, and characterized an integrated cascode cell for compact Ku-band power amplifiers.
The integrated cascode cell was designed to decrease the size of individual power cells while maintaining performance. It combines two transistors in a cascode configuration, effectively doubling the output power and gain compared to a single transistor. Modeling of the cell was performed using a distributed approach.
Measurements showed good agreement with the model. Using the new integrated cascode cells, the researcher was able to design a 2W Ku-band power amplifier MMIC that occupied 40% less area than previous designs using single transistors, demonstrating the effectiveness of the integrated cascode cell topology.
Electromagnetic analysis of submarine umbilical cables with complex configura...thinknice
This document summarizes electromagnetic analyses of different configurations of integrated production umbilical cables. Umbilical cables can have multiple independent power circuits and steel tubes. Three configurations (A, B, C) are analyzed using a combined 2D finite element and transposition methodology to evaluate performance considering load terminal voltages and induced voltages. Configuration B, where each power circuit rotates around its own center, has the best performance with balanced terminal voltages and minimized induction effects along the cable length.
A New Instrumentation Amplifier Architecture Based on Differential Difference ...IJECEIAES
In this paper, a new Instrumentation Amplifier (IA) architecture for biological signal pro- cessing is proposed. First stage of the proposed IA architecture consists of fully balance differential difference amplifier and three resistors. Its second stage was designed by using differential difference amplifier and two resistors. The second stage has smaller number of resistors than that of conventional one. The IA architectures are simulated and compared by using 1P 2M 0:6-m CMOS process. From HSPICE simulation result, lower commonmode voltage can be achieved by the proposed IA architecture. Average common-mode gain (A ) of the proposed IA architecture is 31:26 dB lower than that of conventional one under 3% resistor mismatches condition. Therefore, the A c of the proposed IA architecture is more insensitive to resistor mismatches and suitable for biological signal processing.
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET Journal
This document proposes an architecture to reduce "dark silicon" in chip multiprocessors (CMPs) by improving the efficiency of un-core components like caches and interconnects. It suggests a heterogeneous 3D CMP with different memory technologies stacked to form a multi-level hybrid cache hierarchy. SRAM would be used for the lower cache levels for speed, while technologies like eDRAM, STT-RAM and PRAM would be used for higher levels to reduce power. The cores and closest cache banks would be grouped as "core sets" to manage thermal correlations. This approach aims to maximize performance within a power budget by reducing heat dissipation in un-core components.
An approach to design Flash Analog to Digital Converter for High Speed and Lo...VLSICS Design
This paper proposes the Flash ADC design using Quantized Differential Comparator and fat tree encoder. This approach explores the use of a systematically incorporated input offset voltage in a differential amplifier for quantizing the reference voltages necessary for Flash ADC architectures, therefore eliminating the need for a passive resistor array for the purpose. This approach allows very small voltage comparison and complete elimination of resistor ladder circuit. The thermometer code-to-binary code encoder has become the bottleneck of the ultra-high speed flash ADCs. In this paper, the fat tree thermometer code to-binary code encoder is used for the ultra high speed flash ADCs. The simulation and the implementation results shows that the fat tree encoder performs the commonly used ROM encoder in terms of speed and power for the 6 bit CMOS flash ADC case. The speed is improved by almost a factor of 2 when using the fat tree encoder, which in fact demonstrates the fat tree encoder and it is an effective solution for the bottleneck problem in ultra-high speed ADCs.The design has been carried out for the 0.18um technology using CADENCE tool.
The document describes a proposed 9T SRAM cell design with the following key features:
1. It uses a combination of a standard inverter and a single-ended Schmitt trigger inverter to provide high read stability.
2. Transmission gates are used instead of single-pass gates to reduce unnecessary switching during hold mode.
3. A negative assist technique alters the trip voltage of the Schmitt trigger inverter to improve write-1 ability.
4. Multi-threshold CMOS techniques are adopted to reduce leakage power in the proposed 9T SRAM cell.
Simulation results show the proposed cell has better performance than previous 7T, 10T, 11T, ST 9T
This paper proposes a variation – tolerant dual-diameter CNFET-based 7T (seven transistor) SRAM (static random access memory) cell. The use of appropriate DCNT (diameter of CNFET) and hence Vt of CNFETs is a critical piece of our design strategy. In this work, dual-Vt and dual-diameter CNFETs have been used using suitable chiral vectors for appropriate transistors. It also investigates the impact of process, voltage and temperature variations on its design metrics and compares the results with its counterpart − CMOS-based 7T SRAM cell and standard 6T SRAM cell (only few parameters). The proposed SRAM cell offers 1.35× and 1.25× improvement in standby power on an average @ VDD = 1 V and 0.9 V respectively, 30% improvement in SNM (Static Noise Margin) over CMOS-based 7T cell. Proposed design outperforms 6T in terms of 71.4% improvement in RSNM and shows same read stability as its CMOS counterpart, It shows its robustness by offering 1.4× less spread in TRA (read access time) at 1 V and 1.2× less spread in TRA at 0.9 V than that of its CMOS counterpart at the expense of 1.6× read delay. The proposed bitcell also exhibits higher performance while writing (takes 1.3× and 1.2× less TWA (write access time) @ VDD = 1 V and VDD= 0.9 V respectively). It also proves its robustness against process variations by featuring tighter spread in TWA variability (1.4× and 1.2× @ VDD= 1 V and 0.9 V respectively).
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
- The document discusses transformations between wye (Y) and delta (Δ) connected resistive networks.
- It provides equations to convert between the two configurations by equating the resistances between corresponding terminals.
- Several examples demonstrate applying the transformations to simplify resistive circuits and calculate equivalent resistances and currents.
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
Selection of intra prediction modes for intra frame coding in advanced video ...eSAT Journals
Abstract This paper proposes selection of Intra prediction modes for Intra frame coding in Advanced Video Coding Standard using Matlab. The proposed algorithm selects prediction modes for intra frame coding. There are nine prediction modes are there to predict the intra frame in AVC using Intra prediction,but all the prediction modes are not required for all the applications. Intra prediction is the first process of advanced video coding standard. It predicts a macro block by referring to its previous macro blocks to reduce spatial redundancy,appling all the prediction modes to predict intra frame it leads to more computational complexity is increased at the encoder of AVC. In the proposed algoriyhm, applied all the prediction modes(0-8) for prediction of intra frame but only few modes such as mode0, mode1, mode2,mode4,mode6 gives good PSNR, high comprssion ratio and low bit rate. Out of these modes mode2 gives good PSNR, compression ratio and redced bit rate, mode5, mode7 and mode8 gives lower PSNR, low compression ratio and increased bitrate compared to mode0,mode1, mode2, mode4 and mode6. The simulation results are presented using Matlab. The PSNR , compressed ratio and bit rate achived for different quantization parameters of mother daughter frames , foreman frames was presented. Keywords: AVC, PSNR, CAVLC, Macroblock, Prediction modes.
3D IC technology stacks multiple silicon layers vertically using through-silicon vias to connect the layers. This reduces wire lengths and interconnect delays which are becoming a dominant factor in chip performance. Challenges include thermal issues due to increased power density, electromagnetic interference, and reliability concerns between layers. Design tools are needed to take advantage of 3D architectures for applications like placing critical logic on separate layers to reduce delays.
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...elelijjournal
Magnetic Random Access Memory (MRAM) is a promising candidate to be the universal non-volatile (NV) storage device. The Magnetic Tunnel Junction (MTJ) is the cornerstone of the NV-MRAM technology. 2- terminal MTJ based on Spin Transfer Torque (STT) switching is considered as a hot topic for academic and industrial researchers. Moreover, the 3-terminal Spin Orbit Torque (SOT) MTJ has recently been considered as a hopeful device which provides an increased reliability thanks to independent write and read paths. Since both MTJ devices (STT and SOT) seem to revolutionize the data storage market, it is necessary to explore their compatibility with very advanced CMOS processes in terms of transistor sizing and performance. Assuming a good maturity of the magnetic processes that would enable to fabricate small junctions, simulation results show that the existing advanced sub-micronic CMOS processes can drive the required writing current with reasonable size of transistors confirming the high density feature of MRAMs. At 28 nm node, the minimum transistor size can be used by the STT device. The SOT device shows remarkable energy efficiency with 6× improvement compared with the STT technology. Results are very encouraging for future complex hybrid magnetic/CMOS integrated circuits (ICs).
2011 Protection of a 3.3V Domain and Switchable 1.8V/3.3V I/O in 40nm and 28n...Sofics
This document summarizes a conference paper describing protection circuits for a 3.3V power domain and switchable 1.8V/3.3V I/O in 40nm and 28nm processes using only 1.8V transistors. It describes the issues, solutions, and results of building protection against HBM, MM, CDM, and latch-up. Key aspects included a DTSCR power clamp, ESD-ON-SCR local I/O clamps, and test results showing protection levels exceeding specifications of 2kV HBM and 200V MM in 40nm. The same approach was then ported to a 28nm process with I/O circuits tested on a 28nm MPW test chip.
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...VLSICS Design
A SRAM cell must meet requirements for operation in submicron/nano ranges. The scaling of CMOS technology has significant impact on SRAM cell -- random fluctuation of electrical characteristics and substantial leakage current. In this paper we present dynamic column based power supply 8T SRAM cell and comparing the proposed SRAM cell with respect to conventional SRAM 6T in various aspects. To verify read stability and write ability analysis we use N-curve metric. Simulation results affirmed that proposed 8T SRAM cell achieved improved read stability, read current, and leakage current in 45nm Technology comparing with conventional 6T SRAM using cadence virtuoso tool.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementIRJET Journal
This document describes a new digital input/output power configurable pad (CPAD) circuit for a wafer-scale prototyping platform. The CPAD can provide different standard voltage levels and includes a fast load regulation circuit merged with a high-speed digital I/O. It achieves good voltage regulation performance while offering configurable operation and low power consumption. The CPAD circuit is designed to meet the stringent area and power constraints required for integration into the wafer-scale prototyping platform, which contains over 1 million pads and aims to rapidly prototype electronic systems by interconnecting user integrated circuits deposited on its surface.
A concept of data transmission within downhole telemetry systems in oilfield industry through power lines is presented. Based on this concept, MATLAB/Simulink models simulating communication lines in downhole telemetry systems are built, which can be used as prototypes for development of real systems. The most appropriate signal modulation methods for data transmission in downhole telemetry systems are suggested and discussed. The influence of high-voltage interference on signal transmission through power line from downhole unit to ground based unit is simulated. Usage of error-correcting coding methods for data transmission such as Hamming code, Reed-Solomon code, BCH code is suggested, and its efficiency is demonstrated.
3D IC technology stacks multiple silicon layers vertically using through-silicon vias to connect the layers. This reduces wire lengths and interconnect delays which are becoming a dominant factor in chip performance. Challenges include thermal issues due to increased power density, electromagnetic interference, and reliability concerns from stresses between layers. Design tools are needed to fully utilize 3D ICs and optimize critical paths, mixed-signal partitioning, and physical design across multiple layers.
This document presents a new 5-transistor (5T) CMOS SRAM cell design for high-speed applications. It summarizes the key limitations of conventional 6T SRAM cells and previous 5T cell designs in deep sub-micron technologies. The proposed 5T cell aims to improve stability, power dissipation, and performance over previous designs. It operates by precharging bitlines to ground in standby mode and using an additional transistor for read/write operations instead of wordline transistors. Simulations show the proposed 5T cell has better static noise margin than 6T cells, indicating improved stability during read operations. This makes it suitable for high-speed, high-stability memory in modern processors and systems-
DWS MODELING OF MULTICONDUCTOR TRANSMISSION LINESPiero Belforte
This document summarizes different methods for simulating multiconductor transmission lines (MTL) using the DWM simulation tool SPRINT. It describes the general modal method, which applies to nonhomogeneous and asymmetrical structures using modal voltages and currents. For homogeneous structures, it presents the Marx method and tridiagonal method. It compares SPRINT's implementation of the modal and Marx methods for a simple 3-conductor line, finding good agreement with SPICE. SPRINT allows more efficient simulation of large MTL networks compared to SPICE.
Analysis Of 3C-Sic Double Implanted MOSFET With Gaussian Profile Doping In Th...IJRES Journal
The present work aims at the design of 3C-SiC Double Implanted Metal Oxide Semiconductor Field Effect Transistor (DIMOSFET) with Gaussian doping profile in drift region for high breakdown voltages. By varying the device height ‘h’, function constant m and peak concentration 𝑁0, analysis has been done for an optimum profile for high breakdown voltage. With Gaussian profile peak concentration 𝑁0 = 1016 𝑐𝑚−3 at drain end and m as 1.496 ×10−2cm, highest breakdown voltage of 6.84kV has been estimated with device height of 200μm.
This document summarizes a research paper that designed, modeled, and characterized an integrated cascode cell for compact Ku-band power amplifiers.
The integrated cascode cell was designed to decrease the size of individual power cells while maintaining performance. It combines two transistors in a cascode configuration, effectively doubling the output power and gain compared to a single transistor. Modeling of the cell was performed using a distributed approach.
Measurements showed good agreement with the model. Using the new integrated cascode cells, the researcher was able to design a 2W Ku-band power amplifier MMIC that occupied 40% less area than previous designs using single transistors, demonstrating the effectiveness of the integrated cascode cell topology.
Electromagnetic analysis of submarine umbilical cables with complex configura...thinknice
This document summarizes electromagnetic analyses of different configurations of integrated production umbilical cables. Umbilical cables can have multiple independent power circuits and steel tubes. Three configurations (A, B, C) are analyzed using a combined 2D finite element and transposition methodology to evaluate performance considering load terminal voltages and induced voltages. Configuration B, where each power circuit rotates around its own center, has the best performance with balanced terminal voltages and minimized induction effects along the cable length.
A New Instrumentation Amplifier Architecture Based on Differential Difference ...IJECEIAES
In this paper, a new Instrumentation Amplifier (IA) architecture for biological signal pro- cessing is proposed. First stage of the proposed IA architecture consists of fully balance differential difference amplifier and three resistors. Its second stage was designed by using differential difference amplifier and two resistors. The second stage has smaller number of resistors than that of conventional one. The IA architectures are simulated and compared by using 1P 2M 0:6-m CMOS process. From HSPICE simulation result, lower commonmode voltage can be achieved by the proposed IA architecture. Average common-mode gain (A ) of the proposed IA architecture is 31:26 dB lower than that of conventional one under 3% resistor mismatches condition. Therefore, the A c of the proposed IA architecture is more insensitive to resistor mismatches and suitable for biological signal processing.
IRJET- Reduction of Dark Silicon through Efficient Power Reduction Designing ...IRJET Journal
This document proposes an architecture to reduce "dark silicon" in chip multiprocessors (CMPs) by improving the efficiency of un-core components like caches and interconnects. It suggests a heterogeneous 3D CMP with different memory technologies stacked to form a multi-level hybrid cache hierarchy. SRAM would be used for the lower cache levels for speed, while technologies like eDRAM, STT-RAM and PRAM would be used for higher levels to reduce power. The cores and closest cache banks would be grouped as "core sets" to manage thermal correlations. This approach aims to maximize performance within a power budget by reducing heat dissipation in un-core components.
An approach to design Flash Analog to Digital Converter for High Speed and Lo...VLSICS Design
This paper proposes the Flash ADC design using Quantized Differential Comparator and fat tree encoder. This approach explores the use of a systematically incorporated input offset voltage in a differential amplifier for quantizing the reference voltages necessary for Flash ADC architectures, therefore eliminating the need for a passive resistor array for the purpose. This approach allows very small voltage comparison and complete elimination of resistor ladder circuit. The thermometer code-to-binary code encoder has become the bottleneck of the ultra-high speed flash ADCs. In this paper, the fat tree thermometer code to-binary code encoder is used for the ultra high speed flash ADCs. The simulation and the implementation results shows that the fat tree encoder performs the commonly used ROM encoder in terms of speed and power for the 6 bit CMOS flash ADC case. The speed is improved by almost a factor of 2 when using the fat tree encoder, which in fact demonstrates the fat tree encoder and it is an effective solution for the bottleneck problem in ultra-high speed ADCs.The design has been carried out for the 0.18um technology using CADENCE tool.
The document describes a proposed 9T SRAM cell design with the following key features:
1. It uses a combination of a standard inverter and a single-ended Schmitt trigger inverter to provide high read stability.
2. Transmission gates are used instead of single-pass gates to reduce unnecessary switching during hold mode.
3. A negative assist technique alters the trip voltage of the Schmitt trigger inverter to improve write-1 ability.
4. Multi-threshold CMOS techniques are adopted to reduce leakage power in the proposed 9T SRAM cell.
Simulation results show the proposed cell has better performance than previous 7T, 10T, 11T, ST 9T
This paper proposes a variation – tolerant dual-diameter CNFET-based 7T (seven transistor) SRAM (static random access memory) cell. The use of appropriate DCNT (diameter of CNFET) and hence Vt of CNFETs is a critical piece of our design strategy. In this work, dual-Vt and dual-diameter CNFETs have been used using suitable chiral vectors for appropriate transistors. It also investigates the impact of process, voltage and temperature variations on its design metrics and compares the results with its counterpart − CMOS-based 7T SRAM cell and standard 6T SRAM cell (only few parameters). The proposed SRAM cell offers 1.35× and 1.25× improvement in standby power on an average @ VDD = 1 V and 0.9 V respectively, 30% improvement in SNM (Static Noise Margin) over CMOS-based 7T cell. Proposed design outperforms 6T in terms of 71.4% improvement in RSNM and shows same read stability as its CMOS counterpart, It shows its robustness by offering 1.4× less spread in TRA (read access time) at 1 V and 1.2× less spread in TRA at 0.9 V than that of its CMOS counterpart at the expense of 1.6× read delay. The proposed bitcell also exhibits higher performance while writing (takes 1.3× and 1.2× less TWA (write access time) @ VDD = 1 V and VDD= 0.9 V respectively). It also proves its robustness against process variations by featuring tighter spread in TWA variability (1.4× and 1.2× @ VDD= 1 V and 0.9 V respectively).
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
- The document discusses transformations between wye (Y) and delta (Δ) connected resistive networks.
- It provides equations to convert between the two configurations by equating the resistances between corresponding terminals.
- Several examples demonstrate applying the transformations to simplify resistive circuits and calculate equivalent resistances and currents.
IJRET : International Journal of Research in Engineering and Technology is an international peer reviewed, online journal published by eSAT Publishing House for the enhancement of research in various disciplines of Engineering and Technology. The aim and scope of the journal is to provide an academic medium and an important reference for the advancement and dissemination of research results that support high-level learning, teaching and research in the fields of Engineering and Technology. We bring together Scientists, Academician, Field Engineers, Scholars and Students of related fields of Engineering and Technology
Selection of intra prediction modes for intra frame coding in advanced video ...eSAT Journals
Abstract This paper proposes selection of Intra prediction modes for Intra frame coding in Advanced Video Coding Standard using Matlab. The proposed algorithm selects prediction modes for intra frame coding. There are nine prediction modes are there to predict the intra frame in AVC using Intra prediction,but all the prediction modes are not required for all the applications. Intra prediction is the first process of advanced video coding standard. It predicts a macro block by referring to its previous macro blocks to reduce spatial redundancy,appling all the prediction modes to predict intra frame it leads to more computational complexity is increased at the encoder of AVC. In the proposed algoriyhm, applied all the prediction modes(0-8) for prediction of intra frame but only few modes such as mode0, mode1, mode2,mode4,mode6 gives good PSNR, high comprssion ratio and low bit rate. Out of these modes mode2 gives good PSNR, compression ratio and redced bit rate, mode5, mode7 and mode8 gives lower PSNR, low compression ratio and increased bitrate compared to mode0,mode1, mode2, mode4 and mode6. The simulation results are presented using Matlab. The PSNR , compressed ratio and bit rate achived for different quantization parameters of mother daughter frames , foreman frames was presented. Keywords: AVC, PSNR, CAVLC, Macroblock, Prediction modes.
3D IC technology stacks multiple silicon layers vertically using through-silicon vias to connect the layers. This reduces wire lengths and interconnect delays which are becoming a dominant factor in chip performance. Challenges include thermal issues due to increased power density, electromagnetic interference, and reliability concerns between layers. Design tools are needed to take advantage of 3D architectures for applications like placing critical logic on separate layers to reduce delays.
STUDY OF SPIN TRANSFER TORQUE (STT) AND SPIN ORBIT TORQUE (SOT) MAGNETIC TUNN...elelijjournal
Magnetic Random Access Memory (MRAM) is a promising candidate to be the universal non-volatile (NV) storage device. The Magnetic Tunnel Junction (MTJ) is the cornerstone of the NV-MRAM technology. 2- terminal MTJ based on Spin Transfer Torque (STT) switching is considered as a hot topic for academic and industrial researchers. Moreover, the 3-terminal Spin Orbit Torque (SOT) MTJ has recently been considered as a hopeful device which provides an increased reliability thanks to independent write and read paths. Since both MTJ devices (STT and SOT) seem to revolutionize the data storage market, it is necessary to explore their compatibility with very advanced CMOS processes in terms of transistor sizing and performance. Assuming a good maturity of the magnetic processes that would enable to fabricate small junctions, simulation results show that the existing advanced sub-micronic CMOS processes can drive the required writing current with reasonable size of transistors confirming the high density feature of MRAMs. At 28 nm node, the minimum transistor size can be used by the STT device. The SOT device shows remarkable energy efficiency with 6× improvement compared with the STT technology. Results are very encouraging for future complex hybrid magnetic/CMOS integrated circuits (ICs).
2011 Protection of a 3.3V Domain and Switchable 1.8V/3.3V I/O in 40nm and 28n...Sofics
This document summarizes a conference paper describing protection circuits for a 3.3V power domain and switchable 1.8V/3.3V I/O in 40nm and 28nm processes using only 1.8V transistors. It describes the issues, solutions, and results of building protection against HBM, MM, CDM, and latch-up. Key aspects included a DTSCR power clamp, ESD-ON-SCR local I/O clamps, and test results showing protection levels exceeding specifications of 2kV HBM and 200V MM in 40nm. The same approach was then ported to a 28nm process with I/O circuits tested on a 28nm MPW test chip.
CELL STABILITY ANALYSIS OF CONVENTIONAL 6T DYNAMIC 8T SRAM CELL IN 45NM TECHN...VLSICS Design
A SRAM cell must meet requirements for operation in submicron/nano ranges. The scaling of CMOS technology has significant impact on SRAM cell -- random fluctuation of electrical characteristics and substantial leakage current. In this paper we present dynamic column based power supply 8T SRAM cell and comparing the proposed SRAM cell with respect to conventional SRAM 6T in various aspects. To verify read stability and write ability analysis we use N-curve metric. Simulation results affirmed that proposed 8T SRAM cell achieved improved read stability, read current, and leakage current in 45nm Technology comparing with conventional 6T SRAM using cadence virtuoso tool.
International Journal of Engineering Research and Applications (IJERA) is an open access online peer reviewed international journal that publishes research and review articles in the fields of Computer Science, Neural Networks, Electrical Engineering, Software Engineering, Information Technology, Mechanical Engineering, Chemical Engineering, Plastic Engineering, Food Technology, Textile Engineering, Nano Technology & science, Power Electronics, Electronics & Communication Engineering, Computational mathematics, Image processing, Civil Engineering, Structural Engineering, Environmental Engineering, VLSI Testing & Low Power VLSI Design etc.
Fixed-Outline 3-D IC Floor planning with TSV Co-PlacementIRJET Journal
This document describes a new digital input/output power configurable pad (CPAD) circuit for a wafer-scale prototyping platform. The CPAD can provide different standard voltage levels and includes a fast load regulation circuit merged with a high-speed digital I/O. It achieves good voltage regulation performance while offering configurable operation and low power consumption. The CPAD circuit is designed to meet the stringent area and power constraints required for integration into the wafer-scale prototyping platform, which contains over 1 million pads and aims to rapidly prototype electronic systems by interconnecting user integrated circuits deposited on its surface.
A concept of data transmission within downhole telemetry systems in oilfield industry through power lines is presented. Based on this concept, MATLAB/Simulink models simulating communication lines in downhole telemetry systems are built, which can be used as prototypes for development of real systems. The most appropriate signal modulation methods for data transmission in downhole telemetry systems are suggested and discussed. The influence of high-voltage interference on signal transmission through power line from downhole unit to ground based unit is simulated. Usage of error-correcting coding methods for data transmission such as Hamming code, Reed-Solomon code, BCH code is suggested, and its efficiency is demonstrated.
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of
dramatically increasing in leakage current. So, leakage power reduction is an important design issue for
active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active
and standby energy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In the
first phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A
slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical paths gates to ensure low active energy per cycle with the maximum allowable frequency at the
optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to
all gates for standby power optimization at the optimal supply voltage determined from the first phase.
Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias
is switched between these two values in response to the mode of operation. Experimental results are
obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The
optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power
saving ranged (from 62.8% to 67%).
This document provides a user manual for the Π-FP software, which simulates power integrity in integrated circuit floorplans. It describes how Π-FP models on-chip power grids, distributed current sources, transmission lines, and capacitance. Key aspects include simulating symmetric two-layer on-chip grids, distributing current sources and capacitance uniformly across blocks, and solving equations to calculate voltage changes along transmission line elements. Example simulations demonstrate how Π-FP can analyze power noise within chips and across multiple connected chips, packages, and boards.
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of
dramatically increasing in leakage current. So, leakage power reduction is an important design issue for
active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active
and standby energy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In the
first phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A
slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical
paths gates to ensure low active energy per cycle with the maximum allowable frequency at the
optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to
all gates for standby power optimization at the optimal supply voltage determined from the first phase.
Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias
is switched between these two values in response to the mode of operation. Experimental results are
obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The
optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power
saving ranged (from 62.8% to 67%).
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of dramatically increasing in leakage current. So, leakage power eduction is an important design issue for active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active and standbyrgy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In thefirst phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical paths gates to ensure low active energy per cycle with the maximum allowable frequency at the optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to
all gates for standby power optimization at the optimal supply voltage determined from the first phase. Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias is switched between these two values in response to the mode of operation. Experimental results are obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power saving ranged (from 62.8% to 67%).
SIMULTANEOUS OPTIMIZATION OF STANDBY AND ACTIVE ENERGY FOR SUB-THRESHOLD CIRC...VLSICS Design
Increased downscaling of CMOS circuits with respect to feature size and threshold voltage has a result of dramatically increasing in leakage current. So, leakage power reduction is an important design issue for active and standby modes as long as the technology scaling increased. In this paper, a simultaneous active and standby energy optimization methodology is proposed for 22 nm sub-threshold CMOS circuits. In the first phase, we investigate the dual threshold voltage design for active energy per cycle minimization. A
slack based genetic algorithm is proposed to find the optimal reverse body bias assignment to set of noncritical
paths gates to ensure low active energy per cycle with the maximum allowable frequency at the optimal supply voltage. The second phase, determine the optimal reverse body bias that can be applied to all gates for standby power optimization at the optimal supply voltage determined from the first phase.
Therefore, there exist two sets of gates and two reverse body bias values for each set. The reverse body bias is switched between these two values in response to the mode of operation. Experimental results are obtained for some ISCAS-85 benchmark circuits such as 74L85, 74283, ALU74181, and 16 bit RCA. The optimized circuits show significant energy saving ranged (from 14.5% to 42.28%) and standby power
saving ranged (from 62.8% to 67%)
This paper presents a double-sided CMOS-CNT biosensor array with a padless structure that allows for simple bare-die measurements. The sensor array uses a rectifier circuit to power the chip and transmit data using a single I/O line. A controller chip was also designed using a level-sensitive switch control scheme to enable high-speed communication. Measurement results showed stable operation up to 2MHz with different connection modes for front-side or back-side probing of the padless chip. The double-sided padless design simplifies testing and integration of the biosensor for medical applications.
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
In the featuring VLSI era, compact electronic devices are popular. The reliability and durability of such compact devices relies on low power utilization. The purpose of this project was to implement a low power adiabatic Static Random Access Memory (SRAM), with the following objectives - To reduce the power waste by means of stepwise charging using tank capacitors which is an adiabatic way of generating power clock. This method is capable of recuperating the electrical energy back to the source. Further to examine the Static Noise Margin (SNM) – a parameter which gives detailed information about the cell stability – in contrast with conventional 6T, 7T and 8T topologies of SRAM under 180 nm technology. Finally, SNM variations with respect to process parameters are also discussed. All the implementations and analysis were made using CADENCE tool and MATLAB tool.
This document discusses millimeter-wave wireless power transfer technology for space applications. It proposes a new compact design using a 20x20 slot antenna array integrated on a gold-silicon-silicon dioxide substrate that is capable of realizing over 72% conversion efficiency and power densities over 1.2W/cm^2. The slot antennas are coupled to a differential RF-to-DC conversion circuit consisting of a rectifier, filter, and storage capacitor. This technology offers higher efficiency and power density than solar arrays and could enable rapid wireless power transfer for lunar and space systems without a traditional power grid.
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
In the featuring VLSI era, compact electronic devices are popular. The reliability and durability of such compact devices relies on low power utilization. The purpose of this project was to implement a low power adiabatic Static Random Access Memory (SRAM), with the following objectives - To reduce the power waste by means of stepwise charging using tank capacitors which is an adiabatic way of generating power
clock. This method is capable of recuperating the electrical energy back to the source. Further to examine the Static Noise Margin (SNM) – a parameter which gives detailed information about the cell stability – in
contrast with conventional 6T, 7T and 8T topologies of SRAM under 180 nm technology. Finally, SNM variations with respect to process parameters are also discussed. All the implementations and analysis were made using CADENCE tool and MATLAB tool.
IMPLEMENTATION OF LOW POWER ADIABATIC SRAMVLSICS Design
In the featuring VLSI era, compact electronic devices are popular. The reliability and durability of such compact devices relies on low power utilization. The purpose of this project was to implement a low power adiabatic Static Random Access Memory (SRAM), with the following objectives - To reduce the power waste by means of stepwise charging using tank capacitors which is an adiabatic way of generating power
clock. This method is capable of recuperating the electrical energy back to the source. Further to examine the Static Noise Margin (SNM) – a parameter which gives detailed information about the cell stability – in contrast with conventional 6T, 7T and 8T topologies of SRAM under 180 nm technology. Finally, SNM
variations with respect to process parameters are also discussed. All the implementations and analysis were made using CADENCE tool and MATLAB tool.
Distortion Analysis of Differential AmplifierIOSR Journals
Abstract: The linearity of the CMOS is of major concern in the design of many analog circuits. In this paper the nonlinearity behavior of CMOS analog integrated circuits is investigated.The basic building block of analog integrated circuits such as differential amplifier with current mirror load have been chosen for harmonic distortion analysis.A mechanism to analyze the distortion of CMOS circuits in deep submicron technology that can be easily used to detect the distortion is built.The MOSFET model used for simulation is TSMC BSIM3 SPICE model from 0.13-μm CMOS process technology. HSPICE circuit simulator tool is used for distortion analysis of CMOS circuits. The MOS model used in this paper includes short-channel effects and gate-source capacitance, gate-drain capacitance, output resistance of MOS transistor. Analytical results are compared with simulation results and the influences of circuit parameters on circuit linearity are discussed.
Keywords: Analog Integrated Circuits, CMOSanalog integrated circuits, harmonic distortion, HSPICE, Short-channel effects, small signal analysis, transient analysis.
This paper presents a recursive designing approach for high energy efficient carry select adder (CSA). Nowadays, the portable equipment’s like mobile phones and laptops have higher demands in the market. So, the designers must focus greater attention while designing such devices. Which means that have the devices must have lesser power consumption, low cost and have a better performance. The customers mainly focus on the equipment’s which have lesser power consumption, low cost and better performance. As we all know that the adders are the basic building block of microprocessors. The performance of the adders greatly influences the performance of those processors. The carry select adder is most suitable among other adders which have fast addition operation at low cost. The carry select adder (CSA) consists of chain full adders (FAs) and multiplexers. Here a carry select adder is designed with four FAs and four multiplexers. The proposed structure is assessed by the power consumption of the carry select adder using a 32-nm static CMOS technology for a wide range of supply voltages. The simulation results are obtained using Tanner EDA which reveals that the carry select adder has low power consumption.
Design of Quaternary Logical Circuit Using Voltage and Current Mode LogicVLSICS Design
This document describes the design of quaternary logical circuits using voltage mode and current mode logic. It summarizes that quaternary voltage mode logic has 51.78% lower power consumption compared to binary, but requires 3 times more transistors. Quaternary current mode logic has lower area than voltage mode, but higher power consumption. Specifically, it presents the design of quaternary logic gates like inverters, MIN, MAX gates for both modes. Comparative analysis shows voltage mode has lower power while current mode has lower area.
Energy optimization of 6T SRAM cell using low-voltage and high-performance in...IJECEIAES
The performance of the cell deteriorates, when static random access memory (SRAM) cell is operated below 1V supply voltage with continuous scale down of the complementary metal oxide semiconductor (CMOS) technology. The conventional 6T, 8T-SRAM cells suffer writeability and read static noise margins (SNM) at low-voltages leads to degradation of cell stability. To improve the cell stability and reduce the dynamic power dissipation at low- voltages of the SRAM cell, we proposed four SRAM cells based on inverter structures with less energy consumption using voltage divider bias current sink/source inverter and NOR/NAND gate using a pseudo-nMOS inverter. The design and implementation of SRAM cell using proposed inverter structures are compared with standard 6T, 8T and ST-11T SRAM cells for different supply voltages at 22-nm CMOS technology exhibit better performance of the cell. The read/write static noise margin of the cell significantly increases due to voltage divider bias network built with larger cell-ratio during read path. The load capacitance of the cell is reduced with minimized switching transitions of the devices during high-to-low and low- to-high of the pull-up and pull-down networks from VDD to ground leads to on an average 54% of dynamic power consumption. When compared with the existing ones, the read/write power of the proposed cells is reduced to 30%. The static power gets reduced by 24% due to stacking of transistors takes place in the proposed SRAM cells as compare to existing ones. The layout of the proposed cells is drawn at a 45-nm technology, and occupies an area of 1.5 times greater and 1.8 times greater as compared with 6T-SRAM cell.
2. temperature involved in all the fabrication processes was kept
below 425 °C. The final structure shown in Figure 1a has 40
top nanowire electrodes crossed with 40 bottom nanowire
electrodes with a switching element formed at every crosspoint.
A 50 nm half pitch was achieved through electron beam
lithography and yielded an equivalent data storage density of 10
Gbits/cm2
(Figure 1b) when storing one bit per memory cell. A
higher data storage density was also achieved by multilevel
operation as discussed below. To integrate the crossbar
structure directly on top of the CMOS circuit, every row
(top electrode, corresponding to the word-line) and column
(bottom electrode, corresponding to the bit-line) inside the
crossbar array was connected through nanoscale (∼300 nm)
vias to the output of a specific CMOS decoder unit underneath,
as schematically illustrated in Figure 1c. In this integrated
system, a row decoder enables the selection of a row wire
(word line) for connection to the data input (DATA A) via
CMOS pass transistors based on a row−address code input.
Unselected rows (whose addresses do not match the input
address code) are connected to a separate data input (DATA
Figure 1. (a) SEM image of a crossbar array fabricated on top of a CMOS chip. Scale bar: 5 μm. (b) SEM image of the active crossbar array area
showing 50 nm half pitch and 10 Gbits/cm2
density. Scale bar: 500 nm. (c) Schematic of the hybrid integrated system. Insets: schematic highlighting
the vertical integration of the crossbar array with the on-chip CMOS circuitry. (d) Schematic of the program/read schemes. Each column or row in
the crossbar array is connected to one of the two external signal pads (DATA A for signal applied to the selected column/row, DATA B for signal
connected to the unselected column/row) through CMOS decoder circuits controlled by address I/O pads. (e) I−V switching characteristics from
10 different cells in the crossbar array. Insets: I−V switch characteristics plotted in log scale demonstrating current suppression at negative bias in the
on-state. (f) Threshold voltage distribution of 256 cells in the fabricated crossbar array. The threshold voltage is defined as the voltage at which the
measured current is above 10−6
A.
Nano Letters Letter
dx.doi.org/10.1021/nl203687n | Nano Lett. 2012, 12, 389−395390
3. B), as schematically illustrated in Figure 1d. A similar
configuration exists for the columns (bit lines). With the
word- and bit-line combinations selected, the desired
programming or read voltage (supplied to DATA A) is applied
across the selected cell only. All other cells are biased with
predefined protective voltages, grounded, or left floating
through DATA B. As a result, the integrated system allows
random programming of the 1600 cells inside the 40 × 40 array
using only two DATA inputs and five address inputs at each
side (20 rows or columns are connected to decoders on each
side of the array), instead of having to supply 40 × 2 data inputs
simultaneously, as is the case without CMOS decoder circuitry.
Figure 1e shows the I−V switching characteristics of the
integrated memristor crossbar/CMOS system using the
programming method described above. Significantly, the
fabrication of the memristor crossbar array in BEOL processing
does not affect the CMOS device performance, and all
programming and read signals can be passed through the
CMOS circuit to the crossbar array as designed. In addition,
Figure 1e shows that very similar switching curves can be
obtained from devices in the fabricated crossbar array with a
Figure 2. (a) The original black and white 40 × 40 bitmap image representing the University of Michigan logo. (b) The reconstructed bitmap image
obtained by storing and retrieving data in the 40 × 40 crossbar array. (c) A second test image, which is complementary to the original, to be stored in
the array. (d) The reconstructed image, obtained by storing the image in (c) in the same array. (e,f) Histograms of the on- and off-state resistances
for the data in (b) and (d), respectively.
Nano Letters Letter
dx.doi.org/10.1021/nl203687n | Nano Lett. 2012, 12, 389−395391
4. narrow threshold voltage distribution. Tight distribution of the
switching characteristics is a prerequisite for the operation of
resistive memories at large scale to avoid accidental
programming/erase events during the application of protective
or read voltages. To further illustrate the switching parameter
statistics, Figure 1f plots the histogram of the threshold voltages
obtained from 256 cells in an array, showing a tight distribution
with an average threshold voltage of 2.30 V and a standard
deviation of 0.07 V. We note that the devices studied here are
strictly speaking memristive devices instead of linear mem-
ristors,25
but these two terms are commonly used interchange-
ably in the literature and will not be distinguished in this paper.
It is also noteworthy that the cells maintain an intrinsic
current-rectifying behavior as shown in Figure 1e (and its
inset), such that the current at reverse bias is pronouncedly
suppressed compared to the current at forward bias, consistent
with earlier reports on similar stand-alone cells.21
It needs to be
noted that even though the current through the device is
suppressed at relatively small reverse bias, the device remains in
the on-state, and only transitions to the off-state become erased
with large (e.g., < −1.5 V) negative voltages. This effect is
verified in Figure S2, Supporting Information, which shows that
the on-state is not destroyed with reverse biases up to −1 V.
The intrinsic current-rectifying characteristic can effectively
break the sneak current paths (Figure S1b, Supporting
Information) and is a key reason that the array studied here
can operate without having an external transistor or diode at
each crosspoint.
To test the operation of the integrated crossbar array, a
binary bitmap image with 1600 pixels (40 × 40) representing
the University of Michigan logo was prepared (Figure 2a, with
the black pixels representing data 0, i.e., the ‘off-state’ and white
pixels representing data 1, i.e., the ‘on-state’). The image was
then programmed into the 40 × 40 integrated array and read
out. For writing ‘1’ into a cell inside the array, a 3.5 V, 100 μs
pulse was applied across the selected cell through the CMOS
decoder circuit using the protocol discussed above, while the
other unselected electrodes in the 40 × 40 array were
connected to a protective voltage with amplitude equaling
half of the programming voltage to minimize disturbance of
unselected cells. A similar approach was used for writing ‘0’
using a −1.75 V, 100 μs erase pulse. The programming/erase
speed here was mainly limited by the RC delay associated with
the setup and can be significantly improved with integrated on-
chip programming and sensing circuitry, as much faster intrinsic
programming speed has been reported on similar devices.14,21
The programming/erasing was carried out based only on the
input pattern and ignored the existing state of the memory
Figure 3. (a) I−V characteristics of a single cell programmed with four different series resistance values (0.1, 0.5, 1, and 5 MΩ), demonstrating
multilevel capability. (b) Histogram of the on-state resistances for the four target values. The data were collected from 30 different cells, each of
which was programmed into all four levels. (c) Measurement diagram for the conventional programming scheme. In this case, the effective series
resistance seen by the target cell consists of additional current paths through half-selected devices and cannot be predicted beforehand. (d) New
measurement diagram that enables multilevel storage in the crossbar array. Parallel current paths through the half-selected devices are blocked due to
the external diodes at the outside and the intrinsic current-rectifying characteristics at each crosspoint. Asymmetric protecting voltages Vpw and Vpb
can be applied to the unselected word- and bit-lines, respectively, to minimize disturbances during programming.
Nano Letters Letter
dx.doi.org/10.1021/nl203687n | Nano Lett. 2012, 12, 389−395392
5. cells, and a single programming/erase pulse was sufficient for
each cell. Once all data were programmed in an array, the
information in the array was then read out one cell at a time by
applying a 1 V, 500 μs read pulse across the target cell, while
grounding all unselected electrodes through the CMOS
decoder. To minimize cell wear out, the 40 × 40 array was
divided into 25 8 × 8 subarrays, and each subarray was
programmed as a whole followed by readout. The 40 × 40 pixel
bitmap image was reconstructed by stitching results from the 25
8 × 8 subarrays together. The resulting image in Figure 2b
accurately reflected the initial target image and clearly
demonstrated that by taking advantage of the intrinsic
nonlinear I−V characteristics, the integrated crossbar/CMOS
system could function well without added transistor or diodes
as select devices at each cell. Operations based on larger
subarrays (e.g., 20 × 20) have also been performed, and results
are shown in Figure S3, Support Information.
To further illustrate the full functionality of the integrated
crossbar array, a complementary image (Figure 2c) of the
original was stored into the same array using the same
approach. The reconstructed image for the complementary
bitmap is presented in Figure 2d, verifying every bit in the
crossbar array can be reliably reprogrammed to either the 1 or 0
state. The reliability of the memory array is further illustrated
by examining the on- and off-state resistance distribution, as
plotted in Figure 2e,f for the two cases. Clear separation
between the 1 and 0 states is obtained, with at least 20×
difference in resistance between the worst cases, verifying that
the integrated crossbar/CMOS system can reliably store data at
the array level.
In addition, the large on/off ratio offered by the cells (e.g.,
Figure 1e) suggests the possibility for multilevel cell (MLC)
storage. Storing multiple levels in a single memory element is
necessary to satisfy the needs of increased storage density and is
also required for many neuromorphic applications for which
resistive switches (memristors) are ideally suited.26
MLC
capability has been demonstrated in resistive memories by
controlling the current compliance during switching or
equivalently by controlling the series resistance the cell
sees.27−29
To verify MLC capability for devices in the
integrated system, a single cell in the crossbar array was
programmed (with all other cells in the off-state in this case)
using different series resistances (0.1, 0.5, 1, and 5 MΩ). The
results shown in Figure 3a demonstrated that MLC is indeed
possible with the on-state resistance of the cell controlled by
the series resistor value. This multilevel storage effect can be
explained by the self-limiting filament growth model in which
the filament growth rate is roughly an exponential function of
the applied voltage across the memory device.27,28
As the
resistance of the memory device approaches the series
resistance value, the voltage across the device is reduced by
the voltage divider effect, and filament growth significantly
slows down resulting in a device resistance determined by the
series resistance.27,28
The reproducibility of the MLC operation
is verified in Figure 3b, which plots the resistance distribution
Figure 4. (a) A color 40 × 40 test image with 10 different target levels to be stored in the array. The resistances are represented by the different
colors as defined in the color scale bar on right. (b) The reconstructed data map from the 40 × 40 array obtained by storing and retrieving the image
in (a) (same color scale). (c) False-color image of the error for the stored data. The error is defined as (Rtarget − Rmeasured)/(Rtarget) and represented
by different colors in the color scale bar on right. (d) Histogram of the error values for the stored data.
Nano Letters Letter
dx.doi.org/10.1021/nl203687n | Nano Lett. 2012, 12, 389−395393
6. from 30 different cells, each programmed into four different
resistance states.
However, achieving multilevel storage in crossbar arrays is
inherently much more difficult than achieving binary storage,
since the series resistance seen by the target cell (or
equivalently, the programming current through it) is affected
by other cells in the array. As illustrated in Figure 3c, the
current flowing through the target cell is not only affected by
the external resistor but also by the states of the half-selected
cells sharing the same word-line, i.e., the actual series resistance
the target cell sees is the combination of the external series
resistance and the resistance of the half-selected cells in parallel
which cannot be determined beforehand. This effect explains
why the resistance distributions obtained in the array shown in
Figure 2e,f are larger than those shown in Figure 3b for
individual cells and why the distributions are also worse in
Figure 2f, which corresponds to a configuration with more cells
in the on-state (and hence, more leakage paths) than those in
Figure 2e. To address this problem and block the parallel
current paths, we developed a new programming scheme. In
this approach, schematically illustrated in Figure 3d, external
diodes (e.g., P6KE15A, Littelfuse Inc. used in this study) are
connected to each unselected bit- and word-line to prevent
current flow into the external electrodes and to allow only the
applied input voltage signals to path through. Once again, the
intrinsic current-rectifying characteristic plays a crucial role in
making the approach feasible since it prevents current from
flowing backward at the crosspoints to reach the selected bit- or
word-line. Combining the intrinsic-rectifying characteristics
with external diodes, current flow through the half-selected cells
can now be fully prohibited, enabling control over current in
the target device during programming for multilevel storage
capability. In addition, since no current flows through the half-
selected cells, this approach reduces power consumption which
is another drawback in conventional crossbar array program-
ming. For comparison, our simulations (Figure S4, Supporting
Information) show that without the intrinsic current-rectifying
characteristics, programming current through the target cell
cannot be controlled even with the application of external
diodes at the unselected electrodes.
In the new scheme shown in Figure 3d, since the unselected
bottom electrodes are virtually floated due to the reverse-biased
diodes, they may be charged up during programming to a
potential close to the programming voltage. As a result, the
internal voltage on the unselected bit-lines, Vub shown in Figure
3d, may be higher than the externally supplied protective
voltage Vpb, and during programming the unselected cells can
potentially see large negative voltages (<−1 V) across them. To
reduce this effect, asymmetric protecting voltages were used for
the unselected word- and bit-lines (labeled as Vpw and Vpb,
respectively, in Figure 3d with Vpw > Vpb). The exact potential
distribution across the entire crossbar array was simulated for
the worst case scenario and presented in Figure S5, Supporting
Information. By properly selecting the protective voltages, the
maximum negative voltage the unselected cells could see was
shown to be ∼ −0.8 V (in the worst case) during programming,
not sufficient to disturb the state of the unselected cells.
Based on this new programming scheme, a randomly
generated color (multilevel) map with 10 different levels
(0.025, 0.05, 0.1, 0.25, 0.5, 0.75, 1, 5, 7.5, and 10 MΩ) as
presented in Figure 4a, was stored into the 40 × 40 array. Each
target resistance value was set by a switchable series resistor and
programmed using a single 3.5 V, 100 μs voltage pulse. A set of
5 × 5 subarrays were programmed, followed by a retrieval of all
bits in the subarrays with 1 V, 500 μs read pulses without series
resistor. The process was repeated to complete the 40 × 40
array, and the reconstructed image is presented in Figure 4b.
The stored/retrieved image roughly follows the same patterns
as the original image; however, some errors are also visible due
to the relatively small spacings between the different resistance
values used to store the 10 levels. The error, defined as ((Rtarget
− Rmeasured)/(Rtarget)), is presented in Figure 4c,d. Overall 75%
(1200/1600 cells) of the measured resistance values were
within 50% of the target value, i.e., 0.5Rtarget < Rmeasured <
1.5Rtarget. The apparent asymmetry of the histogram plot shown
in Figure 4d is mainly due to the way error is calculated here
using an asymmetric range from −∞ to 1. For digital
information storage, the error reported here is relatively large
but may be improved further by using on-chip integrated
current compliance setups instead of an off-chip resistor to
reduce parasitic effects. On the other hand, this level of error
may not be a significant problem for neuromorphic applications
as biological systems typically exhibit similar sized or even
larger noise.30
In summary, high-density, vertically integrated, hybrid
memristor/CMOS systems have demonstrated and function
well by taking advantage of the intrinsic rectifying I−V
characteristics of the switching device itself. Binary bitmap
images were successfully stored and retrieved with considerable
read margin. A new programming scheme was developed to
allow the integrated crossbar array to store up to 10 different
levels by eliminating the parallel current paths. These
demonstrations verify that it is possible to build high-density
functional crossbar arrays without having to incorporate
external select devices at each crosspoint, and the hybrid
crossbar/CMOS systems are well-suited for the proposed
future data storage and neuromorphic applications.1,7−11
■ ASSOCIATED CONTENT
*S Supporting Information
Additional supplementary figures showing the sneak path
problem, the intrinsic rectifying behavior, results obtained from
operating 20 × 20 subarrays, simulation results, and device
fabrication processes and measurement setups of the integrated
system. This material is available free of charge via the Internet
at http://pubs.acs.org.
■ AUTHOR INFORMATION
Corresponding Author
*E-mail: wluee@eecs.umich.edu.
■ ACKNOWLEDGMENTS
This work was supported in part by the DARPA SyNAPSE
program under contract number HRL0011-09-C-001 and by
the National Science Foundation (NSF) Career award (ECCS-
0954621). This work used the Lurie Nanofabrication Facility at
the University of Michigan, a member of the National
Nanotechnology Infrastructure Network (NNIN) funded by
the NSF. The authors acknowledge M. Yung, D. Matthews and
A. Soldin for assistance in design of CMOS circuitry. The views
expressed are those of the authors and do not reflect the official
policy or position of the Department of Defense or the U.S.
Government.
Nano Letters Letter
dx.doi.org/10.1021/nl203687n | Nano Lett. 2012, 12, 389−395394
7. ■ REFERENCES
(1) Strukov, D. B.; Likharev, K. K. J. Nanosci. Nanotechnol. 2007, 7,
151−167.
(2) Waser, R.; Aono, M. Nat. Mater. 2007, 6, 833−840.
(3) Strukov, D. B.; Snider, G. S.; Stewart, D. R.; Williams, R. S.
Nature 2009, 453, 80−83.
(4) Strukov, D. B.; Williams, R. S. Proc. Natl. Acad. Sci. U.S.A. 2009,
106, 20155−20158.
(5) Kügeler, C.; Meier, M.; Rosezin, R.; Gilles, S.; Waser, R. Solid-
State Electron. 2009, 53, 1287−1292.
(6) Jo, S. H.; Kim, K.-H.; Lu, W. Nano Lett. 2009, 9, 870−874.
(7) Jo, S. H.; Chang, T.; Ebong, I.; Bhavitavya, B.; Mazumder, P.; Lu,
W. Nano Lett. 2010, 10, 1297−1301.
(8) Borghetti, J.; Li, Z.; Straznicky, J.; Li, X.; Ohlberg, D. A. A.; Wu,
W.; Stewart, D. R.; Williams, R. S. Proc. Natl. Acad. Sci. U.S.A. 2009,
106, 1699−1703.
(9) Xia, Q.; Robinett, W.; Cumbie, M. W.; Banerjee, N.; Cardinali, T.
J.; Yang, J. J.; Wu, W.; Li, X.; Tong, W. M.; Strukov, D. B.; Snider, G.
S.; Medeiros-Ribeiro, G.; Williams, R. S. Nano Lett. 2009, 9, 3640−
3645.
(10) Yan, H.; Choe, H. S.; Nam, S.; Hu, Y.; Das, S.; Klemic, J. F.;
Ellenbogen, J. C.; Lieber, C. M. Nature 2011, 470, 240−244.
(11) Borghetti, J.; Snider, G. S.; Kueke, P. J.; Yang, J. J.; Stewart, D.
R.; Williams, R. S. Nature 2010, 464, 873−876.
(12) Lee, M.-J.; Han, S.; Jeon, S. H.; Park, B. H.; Kang, B. S.; Ahn, S.-
E.; Kim, K. H.; Lee, C. B.; Kim, C. J.; Yoo, I.-K.; Seo, D. H.; Li, X.-S.;
Park, J.-B.; Lee, J.-H.; Park, Y. Nano Lett. 2009, 9, 1476−1481.
(13) Terabe, K.; Hasegawa, T.; Nakayama, T.; Aono, M. Nature
2005, 433, 47−50.
(14) Jo, S. H.; Lu, W. Nano Lett. 2008, 8, 392−397.
(15) Tran, X. A.; Yu, H. Y.; Yeo, Y. C.; Wu, L.; Liu, W. J.; Wang, Z.
R.; Fang, Z.; Pey, K. L.; Sun, X. W.; Du, A. Y.; Nguyen, B. Y.; Li, M. F.
IEEE Electron Device Lett. 2011, 32, 396−398.
(16) Lee, M.-J.; Park, Y.; Suh, D.-S.; Lee, E.-H.; Seo, S.; Kim, D.-C.;
Jung, R.; Kang, B.-S.; Ahn, S.-E.; Lee, C. B.; Seo, D. H.; Cha, Y.-H.;
Yoo, I.-K.; Kim, J.-S.; Park, B. H. Adv. Mater. 2007, 19, 3919−3923.
(17) Cho, B.; Kim, T.-W.; Song, S.; Ji, Y.; Jo, M.; Hwang, H.; Jung,
G.-Y.; Lee, T. Adv. Mater. 2010, 22, 1228−1232.
(18) Wang, C.-H.; Tsai, Y.-H.; Lin, K.-C.; Chang, M.-F.; King, Y.-C.;
Lin, C. J.; Sheu, S.-S.; Chen, Y.-S.; Lee, H.-Y.; Chen, F. T.; Tsai, M.-J.
IEEE Trans. Electron Devices 2011, 58, 2466−2472.
(19) Linn, E.; Rosezin, R.; Kügeler, C.; Waser, R. Nat. Mater. 2010, 9,
403−406.
(20) Lee, M.-J.; Le, C. B.; Lee, D.; Lee, S. R.; Chang, M.; Hur, J. H.;
Kim, Y.-B.; Kim, C.-J.; Seo, D. H.; Seo, S.; Chung, U.-I.; Yoo, I.-K.;
Kim, K. Nat. Mater. 2011, 10, 625−630.
(21) Kim, K.-H.; Jo, S. H.; Gaba, S.; Lu, W. Appl. Phys. Lett. 2010, 96,
053106.
(22) Puthentheradam, S. C.; Schroder, D. K.; Kozicki, M. N. Appl.
Phys. A: Mater. Sci. Process. 2011, 102, 817−826.
(23) Zuo, Q.; Long, S.; Yang, S.; Liu, Q.; Shao, L.; Wang, Q.; Zhang,
S.; Li, Y.; Wang, Y.; Liu, M. IEEE Electron Device Lett. 2010, 31, 344−
346.
(24) Huang, J.-J.; Kuo, C.-W.; Chang, W.-C.; Hou, T.-H. Appl. Phys.
Lett. 2010, 96, 262901.
(25) Chua, L. O.; Kang, S. M. Proc.- IEEE 1976, 64, 209−223.
(26) Snider, G. S. IEEE/ACM International Symposium Nanoscale
Architectures, Anaheim, CA, June 12-13, 2008; IEEE: New York; pp
85−92.
(27) Jo, S. H.; Kim, K.-H.; Lu, W. Nano Lett. 2009, 9, 496−500.
(28) Russo, U.; Kamalanathan, D.; Ielmini, D.; Lacaita, A. L.; Kozicki,
M. N. IEEE Trans. Electron Devices 2009, 56, 1040−1047.
(29) Wang, Y.; Liu, Q.; Long, S.; Wang, W.; Wang, Q.; Zhang, M.;
Zhang, S.; Li, Y.; Zuo, Q.; Yang, J.; Liu, M. Nanotechnology 2010, 21,
045202.
(30) Bi, G.-Q.; Poo, M.-M. J. Neuroscience 1998, 18, 10464−10472.
Nano Letters Letter
dx.doi.org/10.1021/nl203687n | Nano Lett. 2012, 12, 389−395395