SlideShare a Scribd company logo
…. Loading ….
UNIVERSITAS NASIONAL
KOMPONEN RANGKAIAN
XX 
””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral”
Adapun alat - alat yang di butuhkan adalah sebagai berikut :
UNIVERSITAS NASIONAL
CARA KERJA SYSTEM SCANNING
XX 
””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral”
Untuk semua seven segment sistemnya paralel dan transistor digunakan sebagai saklar.
Dengan membagi 8 bit menjadi dua, yaitu 4 bit ke seven segmen
4 bit ke control transistor
Sebuah seven segment memerlukan minimal 8 buah pin (9 buah jika menggunakan titik), dan
untuk dapat membentuk angka dengan sempurna.
Oleh karena itu digunakan prinsip scanning yaitu dengan menghubungkan pin-pin yang
bersesuaian pada tiap-tiap seven segment menjadi satu, kemudian menyalakan seven segment
urut satu persatu secara bergantian dengan cara mengubah-ubah logika pada pin common nya.
Dimisalkan saya ingin menampilkan angka 2123 pada seven segment, maka saya akan
membutuhkan 4 buah seven segment (digit1=2, digit2=1, digit3=2 dan digit4=3). Dan pada
prinsipnya cara kerja seven segment dilakukan secara scanning yaitu
“jika ingin menampilkan 2123, maka saya akan menampilkan angka 2 terlebih dahulu
pada seven segment digit1 (digit ke1) dengan mematikan digit2, digit3 dan digit4.
Kemudian saya akan menampilkan angka 1 pada seven segment digit2 dengan mematikan
digit1, digit3 dan digit4 dan seterusnya sampai menampilkan angka 3 pada digit4″.
Konfigurasi Port Paralel
XX 
UNIVERSITAS NASIONAL
””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral”
GND
Konfigurasi 7 Segment
XX 
UNIVERSITAS NASIONAL
””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral”
Seven segmen sebenarnya terbentuk dari led
biasa sehingga memiliki anoda dan katoda. Namun
pada salah satu bagiannya dijadikan 1 menjadi
common.
Apabila yang dijadikan common adalah anoda,
maka seven segmen tersebut akan menjadi active
low (menyala apabila pin common diberi logika
high, sedangkan pin a-h diberi logika low)
Con :
D7(m2) D6(m1) D5(J2) D4(J1) | D3 D2 D1 D0
1 1 1 0 | 0 0 0 0  menampilkan angka 0 pada jam ke – 1(satuan)
0 1 1 1 | 0 0 0 1  menampilkan angka 1 pada menit ke – 2(ribuan)
1 1 0 1 | 0 0 1 0  menampilkan angka 2 pada jam ke – 2(puluhan)
Konfigurasi IC BCD 74LS47
XX 
UNIVERSITAS NASIONAL
””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral”
pada konfigurasi pin IC 7447 yaitu masukan
* LT' , Lamp Test, berfungsi untuk mengeset
display, bila diberi logika ‘0’ maka semua
keluaran dari IC ini akan berlogika 0.
Sehingga seven segment akan menunjukkan
angka delapan (8).
* BI'/RBO' , Blanking Input/Row Blanking
Output, berfungsi untuk mematikan keluaran
dari IC. Bila diberi logika “0” maka semua
keluaran IC akan berlogika “1” dan seven
segment akan mati.
* RBI' , Row Blanking Input, berfungsi
untuk mematikan keluaran dari IC jika semua
input berlogika “0”. Bila diberi logika “0”,
diberi logika “1” dan diberi logika “0” maka
semua keluaran IC akan berlogika “1” dan
seven segment akan mati.
IC 74LS47 merupakan dekoder BCD ke seven segment yang berfungsi untuk
menyalakan sevent segment mode common anode.
Dekoder BCD ke seven segment mempunyai masukan berupa bilangan BCD 4-bit
(masukan A, B, C dan D). Bilanga BCD ini dikodekan sehingga membentuk kode
tujuh segmen yang akan menyalakan ruas-ruas yang sesuai pada seven segment.
Masukan BCD diaktifkan oleh logika ‘1’, dan keluaran dari dekoder 7447 adalah aktif
low.
Berikut adalah Tabel kebenaran dari IC 74LS47 :
Diagram blok
XX 
UNIVERSITAS NASIONAL
””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral”
Dengan menggunakan metode scanning yang terdiri dari 4 buah seven
segment dengan menggunakan driver BCD 74ls47
Program
XX 
UNIVERSITAS NASIONAL
””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral”
Alur Data
XX 
UNIVERSITAS NASIONAL
””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral”
High nibble Low nibble
Dec output keterangan128 64 32 16 8 4 2 1
D7 D6 D5 D4 D3 D2 D1 D0
1 0 1 1 0 0 0 0 176 0 menit ke 1
1 1 0 1 0 0 0 0 208 0 jam ke 2
1 1 1 0 0 0 0 0 224 0 jam ke 1
0 1 1 1 0 0 0 0 112 0 menit ke 2
0 1 1 1 0 0 1 0 114 2 menit ke 2
0 1 1 1 0 1 0 0 116 4 menit ke 2
0 0 1 1 0 0 1 0 50 2 menit ke 1 & ke 2
1 1 0 0 0 0 1 0 194 2 jam ke 1 & ke 2
Disini
XX Silakan ketik sub title di sini
UNIVERSITAS NASIONAL
””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral”

More Related Content

What's hot

Dsd adder dengan seven segment 1221009_thursy
Dsd adder dengan seven segment 1221009_thursyDsd adder dengan seven segment 1221009_thursy
Dsd adder dengan seven segment 1221009_thursy
Thursy Anag Thoyyibb
 
Ayu purwati laporan 4
Ayu purwati laporan 4Ayu purwati laporan 4
Ayu purwati laporan 4
ayu purwati
 
Modul Lab Dasar Teknik Digital Departemen Teknik Elektro Universitas Sumatera...
Modul Lab Dasar Teknik Digital Departemen Teknik Elektro Universitas Sumatera...Modul Lab Dasar Teknik Digital Departemen Teknik Elektro Universitas Sumatera...
Modul Lab Dasar Teknik Digital Departemen Teknik Elektro Universitas Sumatera...
Muhammad Fadlan Ariska
 
Materi praktek-10-pemrograman-output-display-7-segment
Materi praktek-10-pemrograman-output-display-7-segmentMateri praktek-10-pemrograman-output-display-7-segment
Materi praktek-10-pemrograman-output-display-7-segment
Nyoman Dharmawan
 
Decoder kelompok 1
Decoder kelompok 1Decoder kelompok 1
Decoder kelompok 1
Reynaldi Wahyu
 
Bab 5 counter
Bab 5 counterBab 5 counter
Bab 5 counter
personal
 
Gerbang logika kombinasi
Gerbang logika kombinasiGerbang logika kombinasi
Gerbang logika kombinasi
Moh Ali Fauzi
 
Modul Praktikum
Modul PraktikumModul Praktikum
Modul Praktikum
Edy Pribadi
 
Modul elekronika-digital
Modul elekronika-digitalModul elekronika-digital
Modul elekronika-digitalQiyad N
 
Jobsheet digital
Jobsheet digitalJobsheet digital
Jobsheet digital
Ardian Sarputra
 
Gerbang Logika Dasar
Gerbang Logika DasarGerbang Logika Dasar
Gerbang Logika Dasar
Moh Ali Fauzi
 
Praktikum rangkaian logika gerbang dasar
Praktikum  rangkaian logika gerbang dasarPraktikum  rangkaian logika gerbang dasar
Praktikum rangkaian logika gerbang dasar
I-one Goenaone
 
Laporan eldig
Laporan eldigLaporan eldig
Laporan eldig
Aeditya Mahareva
 
Gerbang logika ayu purwati (14302241028)
Gerbang logika   ayu purwati (14302241028)Gerbang logika   ayu purwati (14302241028)
Gerbang logika ayu purwati (14302241028)
ayu purwati
 

What's hot (14)

Dsd adder dengan seven segment 1221009_thursy
Dsd adder dengan seven segment 1221009_thursyDsd adder dengan seven segment 1221009_thursy
Dsd adder dengan seven segment 1221009_thursy
 
Ayu purwati laporan 4
Ayu purwati laporan 4Ayu purwati laporan 4
Ayu purwati laporan 4
 
Modul Lab Dasar Teknik Digital Departemen Teknik Elektro Universitas Sumatera...
Modul Lab Dasar Teknik Digital Departemen Teknik Elektro Universitas Sumatera...Modul Lab Dasar Teknik Digital Departemen Teknik Elektro Universitas Sumatera...
Modul Lab Dasar Teknik Digital Departemen Teknik Elektro Universitas Sumatera...
 
Materi praktek-10-pemrograman-output-display-7-segment
Materi praktek-10-pemrograman-output-display-7-segmentMateri praktek-10-pemrograman-output-display-7-segment
Materi praktek-10-pemrograman-output-display-7-segment
 
Decoder kelompok 1
Decoder kelompok 1Decoder kelompok 1
Decoder kelompok 1
 
Bab 5 counter
Bab 5 counterBab 5 counter
Bab 5 counter
 
Gerbang logika kombinasi
Gerbang logika kombinasiGerbang logika kombinasi
Gerbang logika kombinasi
 
Modul Praktikum
Modul PraktikumModul Praktikum
Modul Praktikum
 
Modul elekronika-digital
Modul elekronika-digitalModul elekronika-digital
Modul elekronika-digital
 
Jobsheet digital
Jobsheet digitalJobsheet digital
Jobsheet digital
 
Gerbang Logika Dasar
Gerbang Logika DasarGerbang Logika Dasar
Gerbang Logika Dasar
 
Praktikum rangkaian logika gerbang dasar
Praktikum  rangkaian logika gerbang dasarPraktikum  rangkaian logika gerbang dasar
Praktikum rangkaian logika gerbang dasar
 
Laporan eldig
Laporan eldigLaporan eldig
Laporan eldig
 
Gerbang logika ayu purwati (14302241028)
Gerbang logika   ayu purwati (14302241028)Gerbang logika   ayu purwati (14302241028)
Gerbang logika ayu purwati (14302241028)
 

Similar to Jam Digital dengan port Paralel

Draft decoder kelompok 1
Draft decoder kelompok 1Draft decoder kelompok 1
Draft decoder kelompok 1
Asistenpelatih
 
6. Pengenalan Komponen Display Seven Segment.pptx
6. Pengenalan Komponen Display Seven Segment.pptx6. Pengenalan Komponen Display Seven Segment.pptx
6. Pengenalan Komponen Display Seven Segment.pptx
ajioajs
 
20229 laporan resmi viii
20229 laporan resmi viii20229 laporan resmi viii
20229 laporan resmi viii
hawaipurba
 
Konverter heksadesimal-ke-biner
Konverter heksadesimal-ke-binerKonverter heksadesimal-ke-biner
Konverter heksadesimal-ke-biner
Azizah Sanabill
 
Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)
personal
 
AOK_-_Week_9_ALU_and_Bit_and_Byte_Representation..pdf
AOK_-_Week_9_ALU_and_Bit_and_Byte_Representation..pdfAOK_-_Week_9_ALU_and_Bit_and_Byte_Representation..pdf
AOK_-_Week_9_ALU_and_Bit_and_Byte_Representation..pdf
BrainWonderson
 
Modul arduino iii
Modul arduino iiiModul arduino iii
Modul arduino iii
sutono stn
 
Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)
skynet348
 
Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)Eddy_TKJ
 
PS2 Mouse Interfacing dengan pemrograman bahasa C
PS2 Mouse Interfacing dengan pemrograman bahasa CPS2 Mouse Interfacing dengan pemrograman bahasa C
PS2 Mouse Interfacing dengan pemrograman bahasa CJosephine Prasetya
 
8. Multiplexer dan Demultiplexer SIS.pdf
8. Multiplexer dan Demultiplexer SIS.pdf8. Multiplexer dan Demultiplexer SIS.pdf
8. Multiplexer dan Demultiplexer SIS.pdf
khansaputriantari87
 
PLD.pptx
PLD.pptxPLD.pptx
Perancangan keypad mesin fotocopy sederhana menggunakan ATmega8535
Perancangan keypad mesin fotocopy sederhana menggunakan ATmega8535Perancangan keypad mesin fotocopy sederhana menggunakan ATmega8535
Perancangan keypad mesin fotocopy sederhana menggunakan ATmega8535al farisi
 
Laporan projeck ELEKTRONIKA 1
Laporan projeck ELEKTRONIKA 1Laporan projeck ELEKTRONIKA 1
Laporan projeck ELEKTRONIKA 1Hastuti ELINS
 
Cara kerja rangkaian up counter dan down counter
Cara kerja rangkaian up counter dan down counterCara kerja rangkaian up counter dan down counter
Cara kerja rangkaian up counter dan down counter
PT.goLom na
 
MODUL WORKSHOP MIKROKONTROLLER MANTINGAN.pdf
MODUL WORKSHOP MIKROKONTROLLER MANTINGAN.pdfMODUL WORKSHOP MIKROKONTROLLER MANTINGAN.pdf
MODUL WORKSHOP MIKROKONTROLLER MANTINGAN.pdf
AzizahFajar
 
Perancangan sistem digital
Perancangan sistem digitalPerancangan sistem digital
Perancangan sistem digital
try susanto
 

Similar to Jam Digital dengan port Paralel (20)

Draft decoder kelompok 1
Draft decoder kelompok 1Draft decoder kelompok 1
Draft decoder kelompok 1
 
6. Pengenalan Komponen Display Seven Segment.pptx
6. Pengenalan Komponen Display Seven Segment.pptx6. Pengenalan Komponen Display Seven Segment.pptx
6. Pengenalan Komponen Display Seven Segment.pptx
 
Rangkaian digital
Rangkaian digitalRangkaian digital
Rangkaian digital
 
20229 laporan resmi viii
20229 laporan resmi viii20229 laporan resmi viii
20229 laporan resmi viii
 
Konverter heksadesimal-ke-biner
Konverter heksadesimal-ke-binerKonverter heksadesimal-ke-biner
Konverter heksadesimal-ke-biner
 
Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)Bab 8 kode konverter (pertemuan 11, 12)
Bab 8 kode konverter (pertemuan 11, 12)
 
AOK_-_Week_9_ALU_and_Bit_and_Byte_Representation..pdf
AOK_-_Week_9_ALU_and_Bit_and_Byte_Representation..pdfAOK_-_Week_9_ALU_and_Bit_and_Byte_Representation..pdf
AOK_-_Week_9_ALU_and_Bit_and_Byte_Representation..pdf
 
Modul arduino iii
Modul arduino iiiModul arduino iii
Modul arduino iii
 
Interfacing Number Display
Interfacing Number DisplayInterfacing Number Display
Interfacing Number Display
 
Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)
 
Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)Teknik komputer jaringan(algoritma)
Teknik komputer jaringan(algoritma)
 
PS2 Mouse Interfacing dengan pemrograman bahasa C
PS2 Mouse Interfacing dengan pemrograman bahasa CPS2 Mouse Interfacing dengan pemrograman bahasa C
PS2 Mouse Interfacing dengan pemrograman bahasa C
 
8. Multiplexer dan Demultiplexer SIS.pdf
8. Multiplexer dan Demultiplexer SIS.pdf8. Multiplexer dan Demultiplexer SIS.pdf
8. Multiplexer dan Demultiplexer SIS.pdf
 
PLD.pptx
PLD.pptxPLD.pptx
PLD.pptx
 
Perancangan keypad mesin fotocopy sederhana menggunakan ATmega8535
Perancangan keypad mesin fotocopy sederhana menggunakan ATmega8535Perancangan keypad mesin fotocopy sederhana menggunakan ATmega8535
Perancangan keypad mesin fotocopy sederhana menggunakan ATmega8535
 
Laporan projeck ELEKTRONIKA 1
Laporan projeck ELEKTRONIKA 1Laporan projeck ELEKTRONIKA 1
Laporan projeck ELEKTRONIKA 1
 
Cara kerja rangkaian up counter dan down counter
Cara kerja rangkaian up counter dan down counterCara kerja rangkaian up counter dan down counter
Cara kerja rangkaian up counter dan down counter
 
Tugas b log
Tugas b logTugas b log
Tugas b log
 
MODUL WORKSHOP MIKROKONTROLLER MANTINGAN.pdf
MODUL WORKSHOP MIKROKONTROLLER MANTINGAN.pdfMODUL WORKSHOP MIKROKONTROLLER MANTINGAN.pdf
MODUL WORKSHOP MIKROKONTROLLER MANTINGAN.pdf
 
Perancangan sistem digital
Perancangan sistem digitalPerancangan sistem digital
Perancangan sistem digital
 

More from Institut Sains dan Teknologi Nasional

Bahan paparan pengajuan_nidn
Bahan paparan pengajuan_nidnBahan paparan pengajuan_nidn
Bahan paparan pengajuan_nidn
Institut Sains dan Teknologi Nasional
 
Algoritma Pemrograman - Operator
Algoritma Pemrograman - OperatorAlgoritma Pemrograman - Operator
Algoritma Pemrograman - Operator
Institut Sains dan Teknologi Nasional
 
Algoritma Pemrograman - Perintah Input/Output
Algoritma Pemrograman - Perintah Input/OutputAlgoritma Pemrograman - Perintah Input/Output
Algoritma Pemrograman - Perintah Input/Output
Institut Sains dan Teknologi Nasional
 
Algoritma Pemrograman - Variabel, Konstanta & Tipe Data
Algoritma Pemrograman - Variabel, Konstanta & Tipe DataAlgoritma Pemrograman - Variabel, Konstanta & Tipe Data
Algoritma Pemrograman - Variabel, Konstanta & Tipe Data
Institut Sains dan Teknologi Nasional
 
Algoritma Pemrograman - Pendahuluan
Algoritma Pemrograman - PendahuluanAlgoritma Pemrograman - Pendahuluan
Algoritma Pemrograman - Pendahuluan
Institut Sains dan Teknologi Nasional
 
Algoritma Pemrograman - Statements
Algoritma Pemrograman - StatementsAlgoritma Pemrograman - Statements
Algoritma Pemrograman - Statements
Institut Sains dan Teknologi Nasional
 
Atraksi lampu led dengan port paralel
Atraksi lampu led dengan port paralelAtraksi lampu led dengan port paralel
Atraksi lampu led dengan port paralel
Institut Sains dan Teknologi Nasional
 
Interface & peripheral (pendahuluan)
Interface & peripheral (pendahuluan)Interface & peripheral (pendahuluan)
Interface & peripheral (pendahuluan)
Institut Sains dan Teknologi Nasional
 
Pengenalan mikrokontroller
Pengenalan mikrokontrollerPengenalan mikrokontroller
Pengenalan mikrokontroller
Institut Sains dan Teknologi Nasional
 
Pengantar PLC
Pengantar PLCPengantar PLC
Client Side Scripting
Client Side ScriptingClient Side Scripting

More from Institut Sains dan Teknologi Nasional (13)

Bahan paparan pengajuan_nidn
Bahan paparan pengajuan_nidnBahan paparan pengajuan_nidn
Bahan paparan pengajuan_nidn
 
Algoritma Pemrograman - Operator
Algoritma Pemrograman - OperatorAlgoritma Pemrograman - Operator
Algoritma Pemrograman - Operator
 
Algoritma Pemrograman - Perintah Input/Output
Algoritma Pemrograman - Perintah Input/OutputAlgoritma Pemrograman - Perintah Input/Output
Algoritma Pemrograman - Perintah Input/Output
 
Algoritma Pemrograman - Variabel, Konstanta & Tipe Data
Algoritma Pemrograman - Variabel, Konstanta & Tipe DataAlgoritma Pemrograman - Variabel, Konstanta & Tipe Data
Algoritma Pemrograman - Variabel, Konstanta & Tipe Data
 
Algoritma Pemrograman - Pendahuluan
Algoritma Pemrograman - PendahuluanAlgoritma Pemrograman - Pendahuluan
Algoritma Pemrograman - Pendahuluan
 
Algoritma Pemrograman - Statements
Algoritma Pemrograman - StatementsAlgoritma Pemrograman - Statements
Algoritma Pemrograman - Statements
 
Atraksi lampu led dengan port paralel
Atraksi lampu led dengan port paralelAtraksi lampu led dengan port paralel
Atraksi lampu led dengan port paralel
 
Sistem bilangan
Sistem bilanganSistem bilangan
Sistem bilangan
 
Interface & peripheral (pendahuluan)
Interface & peripheral (pendahuluan)Interface & peripheral (pendahuluan)
Interface & peripheral (pendahuluan)
 
Pengenalan mikrokontroller
Pengenalan mikrokontrollerPengenalan mikrokontroller
Pengenalan mikrokontroller
 
Pengantar PLC
Pengantar PLCPengantar PLC
Pengantar PLC
 
Client Side Scripting
Client Side ScriptingClient Side Scripting
Client Side Scripting
 
Pengantar java script
Pengantar java scriptPengantar java script
Pengantar java script
 

Recently uploaded

Pemutakhiran Data dosen pada sister.pptx
Pemutakhiran Data dosen pada sister.pptxPemutakhiran Data dosen pada sister.pptx
Pemutakhiran Data dosen pada sister.pptx
ssuser4dafea
 
Materi Feedback (umpan balik) kelas Psikologi Komunikasi
Materi Feedback (umpan balik) kelas Psikologi KomunikasiMateri Feedback (umpan balik) kelas Psikologi Komunikasi
Materi Feedback (umpan balik) kelas Psikologi Komunikasi
AdePutraTunggali
 
Modul Ajar Bahasa Inggris Kelas 10 Fase E Kurikulum Merdeka
Modul Ajar Bahasa Inggris Kelas 10 Fase E Kurikulum MerdekaModul Ajar Bahasa Inggris Kelas 10 Fase E Kurikulum Merdeka
Modul Ajar Bahasa Inggris Kelas 10 Fase E Kurikulum Merdeka
Fathan Emran
 
Kelompok 2 Tugas Modul 2.1 Ruang Kolaborasi.pdf
Kelompok 2 Tugas Modul 2.1 Ruang Kolaborasi.pdfKelompok 2 Tugas Modul 2.1 Ruang Kolaborasi.pdf
Kelompok 2 Tugas Modul 2.1 Ruang Kolaborasi.pdf
JALANJALANKENYANG
 
Juknis Materi KSM Kabkota - Pendaftaran[1].pdf
Juknis Materi KSM Kabkota - Pendaftaran[1].pdfJuknis Materi KSM Kabkota - Pendaftaran[1].pdf
Juknis Materi KSM Kabkota - Pendaftaran[1].pdf
HendraSagita2
 
Seminar Pendidikan PPG Filosofi Pendidikan.pdf
Seminar Pendidikan PPG Filosofi Pendidikan.pdfSeminar Pendidikan PPG Filosofi Pendidikan.pdf
Seminar Pendidikan PPG Filosofi Pendidikan.pdf
inganahsholihahpangs
 
Modul Ajar Bahasa Indonesia Kelas 7 Fase D Kurikulum Merdeka - [abdiera.com]
Modul Ajar Bahasa Indonesia Kelas 7 Fase D Kurikulum Merdeka - [abdiera.com]Modul Ajar Bahasa Indonesia Kelas 7 Fase D Kurikulum Merdeka - [abdiera.com]
Modul Ajar Bahasa Indonesia Kelas 7 Fase D Kurikulum Merdeka - [abdiera.com]
Fathan Emran
 
Teori Fungsionalisme Kulturalisasi Talcott Parsons (Dosen Pengampu : Khoirin ...
Teori Fungsionalisme Kulturalisasi Talcott Parsons (Dosen Pengampu : Khoirin ...Teori Fungsionalisme Kulturalisasi Talcott Parsons (Dosen Pengampu : Khoirin ...
Teori Fungsionalisme Kulturalisasi Talcott Parsons (Dosen Pengampu : Khoirin ...
nasrudienaulia
 
Pembentukan-Pantarlih-Pilkada-Kabupaten-Tapin.pptx
Pembentukan-Pantarlih-Pilkada-Kabupaten-Tapin.pptxPembentukan-Pantarlih-Pilkada-Kabupaten-Tapin.pptx
Pembentukan-Pantarlih-Pilkada-Kabupaten-Tapin.pptx
Sosdiklihparmassdm
 
Kisi-kisi PAT IPS Kelas 8 semester 2.pdf
Kisi-kisi PAT IPS Kelas 8 semester 2.pdfKisi-kisi PAT IPS Kelas 8 semester 2.pdf
Kisi-kisi PAT IPS Kelas 8 semester 2.pdf
indraayurestuw
 
Pelatihan AI GKA abdi Sabda - Apa itu AI?
Pelatihan AI GKA abdi Sabda - Apa itu AI?Pelatihan AI GKA abdi Sabda - Apa itu AI?
Pelatihan AI GKA abdi Sabda - Apa itu AI?
SABDA
 
materi penyuluhan kesehatan reproduksi remaja
materi penyuluhan kesehatan reproduksi remajamateri penyuluhan kesehatan reproduksi remaja
materi penyuluhan kesehatan reproduksi remaja
DewiInekePuteri
 
Materi 2_Benahi Perencanaan dan Benahi Implementasi.pptx
Materi 2_Benahi Perencanaan dan Benahi Implementasi.pptxMateri 2_Benahi Perencanaan dan Benahi Implementasi.pptx
Materi 2_Benahi Perencanaan dan Benahi Implementasi.pptx
ahyani72
 
Modul Ajar Matematika Kelas 8 Fase D Kurikulum Merdeka - [abdiera.com]
Modul Ajar Matematika Kelas 8 Fase D Kurikulum Merdeka - [abdiera.com]Modul Ajar Matematika Kelas 8 Fase D Kurikulum Merdeka - [abdiera.com]
Modul Ajar Matematika Kelas 8 Fase D Kurikulum Merdeka - [abdiera.com]
Fathan Emran
 
GERAKAN KERJASAMA DAN BEBERAPA INSTRUMEN NASIONAL PENCEGAHAN KORUPSI.pptx
GERAKAN KERJASAMA DAN BEBERAPA INSTRUMEN NASIONAL PENCEGAHAN KORUPSI.pptxGERAKAN KERJASAMA DAN BEBERAPA INSTRUMEN NASIONAL PENCEGAHAN KORUPSI.pptx
GERAKAN KERJASAMA DAN BEBERAPA INSTRUMEN NASIONAL PENCEGAHAN KORUPSI.pptx
fildiausmayusuf1
 
Aksi Nyata Erliana Mudah bukan memahamii
Aksi Nyata Erliana Mudah bukan memahamiiAksi Nyata Erliana Mudah bukan memahamii
Aksi Nyata Erliana Mudah bukan memahamii
esmaducoklat
 
SOAL ASAS SENI MUSIK kelas 2 semester 2 kurikulum merdeka
SOAL ASAS SENI MUSIK kelas 2 semester 2 kurikulum merdekaSOAL ASAS SENI MUSIK kelas 2 semester 2 kurikulum merdeka
SOAL ASAS SENI MUSIK kelas 2 semester 2 kurikulum merdeka
NiaTazmia2
 
JUKNIS SOSIALIASI PPDB JATENG 2024/2025.PDF
JUKNIS SOSIALIASI PPDB JATENG 2024/2025.PDFJUKNIS SOSIALIASI PPDB JATENG 2024/2025.PDF
JUKNIS SOSIALIASI PPDB JATENG 2024/2025.PDF
budimoko2
 
MODUL P5 FASE B KELAS 4 MEMBUAT COBRICK.pdf
MODUL P5 FASE B KELAS 4 MEMBUAT COBRICK.pdfMODUL P5 FASE B KELAS 4 MEMBUAT COBRICK.pdf
MODUL P5 FASE B KELAS 4 MEMBUAT COBRICK.pdf
YuristaAndriyani1
 
ANALISIS PENCEMARAN UDARA AKIBAT PABRIK ASPAL
ANALISIS PENCEMARAN UDARA AKIBAT PABRIK ASPALANALISIS PENCEMARAN UDARA AKIBAT PABRIK ASPAL
ANALISIS PENCEMARAN UDARA AKIBAT PABRIK ASPAL
Annisa Syahfitri
 

Recently uploaded (20)

Pemutakhiran Data dosen pada sister.pptx
Pemutakhiran Data dosen pada sister.pptxPemutakhiran Data dosen pada sister.pptx
Pemutakhiran Data dosen pada sister.pptx
 
Materi Feedback (umpan balik) kelas Psikologi Komunikasi
Materi Feedback (umpan balik) kelas Psikologi KomunikasiMateri Feedback (umpan balik) kelas Psikologi Komunikasi
Materi Feedback (umpan balik) kelas Psikologi Komunikasi
 
Modul Ajar Bahasa Inggris Kelas 10 Fase E Kurikulum Merdeka
Modul Ajar Bahasa Inggris Kelas 10 Fase E Kurikulum MerdekaModul Ajar Bahasa Inggris Kelas 10 Fase E Kurikulum Merdeka
Modul Ajar Bahasa Inggris Kelas 10 Fase E Kurikulum Merdeka
 
Kelompok 2 Tugas Modul 2.1 Ruang Kolaborasi.pdf
Kelompok 2 Tugas Modul 2.1 Ruang Kolaborasi.pdfKelompok 2 Tugas Modul 2.1 Ruang Kolaborasi.pdf
Kelompok 2 Tugas Modul 2.1 Ruang Kolaborasi.pdf
 
Juknis Materi KSM Kabkota - Pendaftaran[1].pdf
Juknis Materi KSM Kabkota - Pendaftaran[1].pdfJuknis Materi KSM Kabkota - Pendaftaran[1].pdf
Juknis Materi KSM Kabkota - Pendaftaran[1].pdf
 
Seminar Pendidikan PPG Filosofi Pendidikan.pdf
Seminar Pendidikan PPG Filosofi Pendidikan.pdfSeminar Pendidikan PPG Filosofi Pendidikan.pdf
Seminar Pendidikan PPG Filosofi Pendidikan.pdf
 
Modul Ajar Bahasa Indonesia Kelas 7 Fase D Kurikulum Merdeka - [abdiera.com]
Modul Ajar Bahasa Indonesia Kelas 7 Fase D Kurikulum Merdeka - [abdiera.com]Modul Ajar Bahasa Indonesia Kelas 7 Fase D Kurikulum Merdeka - [abdiera.com]
Modul Ajar Bahasa Indonesia Kelas 7 Fase D Kurikulum Merdeka - [abdiera.com]
 
Teori Fungsionalisme Kulturalisasi Talcott Parsons (Dosen Pengampu : Khoirin ...
Teori Fungsionalisme Kulturalisasi Talcott Parsons (Dosen Pengampu : Khoirin ...Teori Fungsionalisme Kulturalisasi Talcott Parsons (Dosen Pengampu : Khoirin ...
Teori Fungsionalisme Kulturalisasi Talcott Parsons (Dosen Pengampu : Khoirin ...
 
Pembentukan-Pantarlih-Pilkada-Kabupaten-Tapin.pptx
Pembentukan-Pantarlih-Pilkada-Kabupaten-Tapin.pptxPembentukan-Pantarlih-Pilkada-Kabupaten-Tapin.pptx
Pembentukan-Pantarlih-Pilkada-Kabupaten-Tapin.pptx
 
Kisi-kisi PAT IPS Kelas 8 semester 2.pdf
Kisi-kisi PAT IPS Kelas 8 semester 2.pdfKisi-kisi PAT IPS Kelas 8 semester 2.pdf
Kisi-kisi PAT IPS Kelas 8 semester 2.pdf
 
Pelatihan AI GKA abdi Sabda - Apa itu AI?
Pelatihan AI GKA abdi Sabda - Apa itu AI?Pelatihan AI GKA abdi Sabda - Apa itu AI?
Pelatihan AI GKA abdi Sabda - Apa itu AI?
 
materi penyuluhan kesehatan reproduksi remaja
materi penyuluhan kesehatan reproduksi remajamateri penyuluhan kesehatan reproduksi remaja
materi penyuluhan kesehatan reproduksi remaja
 
Materi 2_Benahi Perencanaan dan Benahi Implementasi.pptx
Materi 2_Benahi Perencanaan dan Benahi Implementasi.pptxMateri 2_Benahi Perencanaan dan Benahi Implementasi.pptx
Materi 2_Benahi Perencanaan dan Benahi Implementasi.pptx
 
Modul Ajar Matematika Kelas 8 Fase D Kurikulum Merdeka - [abdiera.com]
Modul Ajar Matematika Kelas 8 Fase D Kurikulum Merdeka - [abdiera.com]Modul Ajar Matematika Kelas 8 Fase D Kurikulum Merdeka - [abdiera.com]
Modul Ajar Matematika Kelas 8 Fase D Kurikulum Merdeka - [abdiera.com]
 
GERAKAN KERJASAMA DAN BEBERAPA INSTRUMEN NASIONAL PENCEGAHAN KORUPSI.pptx
GERAKAN KERJASAMA DAN BEBERAPA INSTRUMEN NASIONAL PENCEGAHAN KORUPSI.pptxGERAKAN KERJASAMA DAN BEBERAPA INSTRUMEN NASIONAL PENCEGAHAN KORUPSI.pptx
GERAKAN KERJASAMA DAN BEBERAPA INSTRUMEN NASIONAL PENCEGAHAN KORUPSI.pptx
 
Aksi Nyata Erliana Mudah bukan memahamii
Aksi Nyata Erliana Mudah bukan memahamiiAksi Nyata Erliana Mudah bukan memahamii
Aksi Nyata Erliana Mudah bukan memahamii
 
SOAL ASAS SENI MUSIK kelas 2 semester 2 kurikulum merdeka
SOAL ASAS SENI MUSIK kelas 2 semester 2 kurikulum merdekaSOAL ASAS SENI MUSIK kelas 2 semester 2 kurikulum merdeka
SOAL ASAS SENI MUSIK kelas 2 semester 2 kurikulum merdeka
 
JUKNIS SOSIALIASI PPDB JATENG 2024/2025.PDF
JUKNIS SOSIALIASI PPDB JATENG 2024/2025.PDFJUKNIS SOSIALIASI PPDB JATENG 2024/2025.PDF
JUKNIS SOSIALIASI PPDB JATENG 2024/2025.PDF
 
MODUL P5 FASE B KELAS 4 MEMBUAT COBRICK.pdf
MODUL P5 FASE B KELAS 4 MEMBUAT COBRICK.pdfMODUL P5 FASE B KELAS 4 MEMBUAT COBRICK.pdf
MODUL P5 FASE B KELAS 4 MEMBUAT COBRICK.pdf
 
ANALISIS PENCEMARAN UDARA AKIBAT PABRIK ASPAL
ANALISIS PENCEMARAN UDARA AKIBAT PABRIK ASPALANALISIS PENCEMARAN UDARA AKIBAT PABRIK ASPAL
ANALISIS PENCEMARAN UDARA AKIBAT PABRIK ASPAL
 

Jam Digital dengan port Paralel

  • 2. UNIVERSITAS NASIONAL KOMPONEN RANGKAIAN XX  ””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral” Adapun alat - alat yang di butuhkan adalah sebagai berikut :
  • 3. UNIVERSITAS NASIONAL CARA KERJA SYSTEM SCANNING XX  ””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral” Untuk semua seven segment sistemnya paralel dan transistor digunakan sebagai saklar. Dengan membagi 8 bit menjadi dua, yaitu 4 bit ke seven segmen 4 bit ke control transistor Sebuah seven segment memerlukan minimal 8 buah pin (9 buah jika menggunakan titik), dan untuk dapat membentuk angka dengan sempurna. Oleh karena itu digunakan prinsip scanning yaitu dengan menghubungkan pin-pin yang bersesuaian pada tiap-tiap seven segment menjadi satu, kemudian menyalakan seven segment urut satu persatu secara bergantian dengan cara mengubah-ubah logika pada pin common nya. Dimisalkan saya ingin menampilkan angka 2123 pada seven segment, maka saya akan membutuhkan 4 buah seven segment (digit1=2, digit2=1, digit3=2 dan digit4=3). Dan pada prinsipnya cara kerja seven segment dilakukan secara scanning yaitu “jika ingin menampilkan 2123, maka saya akan menampilkan angka 2 terlebih dahulu pada seven segment digit1 (digit ke1) dengan mematikan digit2, digit3 dan digit4. Kemudian saya akan menampilkan angka 1 pada seven segment digit2 dengan mematikan digit1, digit3 dan digit4 dan seterusnya sampai menampilkan angka 3 pada digit4″.
  • 4. Konfigurasi Port Paralel XX  UNIVERSITAS NASIONAL ””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral” GND
  • 5. Konfigurasi 7 Segment XX  UNIVERSITAS NASIONAL ””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral” Seven segmen sebenarnya terbentuk dari led biasa sehingga memiliki anoda dan katoda. Namun pada salah satu bagiannya dijadikan 1 menjadi common. Apabila yang dijadikan common adalah anoda, maka seven segmen tersebut akan menjadi active low (menyala apabila pin common diberi logika high, sedangkan pin a-h diberi logika low) Con : D7(m2) D6(m1) D5(J2) D4(J1) | D3 D2 D1 D0 1 1 1 0 | 0 0 0 0  menampilkan angka 0 pada jam ke – 1(satuan) 0 1 1 1 | 0 0 0 1  menampilkan angka 1 pada menit ke – 2(ribuan) 1 1 0 1 | 0 0 1 0  menampilkan angka 2 pada jam ke – 2(puluhan)
  • 6. Konfigurasi IC BCD 74LS47 XX  UNIVERSITAS NASIONAL ””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral” pada konfigurasi pin IC 7447 yaitu masukan * LT' , Lamp Test, berfungsi untuk mengeset display, bila diberi logika ‘0’ maka semua keluaran dari IC ini akan berlogika 0. Sehingga seven segment akan menunjukkan angka delapan (8). * BI'/RBO' , Blanking Input/Row Blanking Output, berfungsi untuk mematikan keluaran dari IC. Bila diberi logika “0” maka semua keluaran IC akan berlogika “1” dan seven segment akan mati. * RBI' , Row Blanking Input, berfungsi untuk mematikan keluaran dari IC jika semua input berlogika “0”. Bila diberi logika “0”, diberi logika “1” dan diberi logika “0” maka semua keluaran IC akan berlogika “1” dan seven segment akan mati. IC 74LS47 merupakan dekoder BCD ke seven segment yang berfungsi untuk menyalakan sevent segment mode common anode. Dekoder BCD ke seven segment mempunyai masukan berupa bilangan BCD 4-bit (masukan A, B, C dan D). Bilanga BCD ini dikodekan sehingga membentuk kode tujuh segmen yang akan menyalakan ruas-ruas yang sesuai pada seven segment. Masukan BCD diaktifkan oleh logika ‘1’, dan keluaran dari dekoder 7447 adalah aktif low. Berikut adalah Tabel kebenaran dari IC 74LS47 :
  • 7. Diagram blok XX  UNIVERSITAS NASIONAL ””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral” Dengan menggunakan metode scanning yang terdiri dari 4 buah seven segment dengan menggunakan driver BCD 74ls47
  • 8. Program XX  UNIVERSITAS NASIONAL ””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral”
  • 9. Alur Data XX  UNIVERSITAS NASIONAL ””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral” High nibble Low nibble Dec output keterangan128 64 32 16 8 4 2 1 D7 D6 D5 D4 D3 D2 D1 D0 1 0 1 1 0 0 0 0 176 0 menit ke 1 1 1 0 1 0 0 0 0 208 0 jam ke 2 1 1 1 0 0 0 0 0 224 0 jam ke 1 0 1 1 1 0 0 0 0 112 0 menit ke 2 0 1 1 1 0 0 1 0 114 2 menit ke 2 0 1 1 1 0 1 0 0 116 4 menit ke 2 0 0 1 1 0 0 1 0 50 2 menit ke 1 & ke 2 1 1 0 0 0 0 1 0 194 2 jam ke 1 & ke 2
  • 10. Disini XX Silakan ketik sub title di sini UNIVERSITAS NASIONAL ””Interface & Peripheral”Interface & Peripheral”””Interface & Peripheral”Interface & Peripheral”