SlideShare a Scribd company logo
1 of 5
Download to read offline
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 03 | Mar-2013, Available @ http://www.ijret.org 220
ANALYSIS, VERIFICATION AND FPGA IMPLEMENTATION OF LOW
POWER MULTIPLIER
Garima Tiwari
M. Tech. Research Scholar, Mewar University, Garimatiwari86@gmail.com
Abstract
As the scale of integration keeps growing, more and more sophisticated signal processing systems are being implemented on a VLSI
chip. These signal processing applications not only demand great computation capacity but also consume considerable amounts of
energy. While performance and area remain to be two major design goals, power consumption has become a critical concern in
today’s VLSI system design. Multiplication is a fundamental operation in most arithmetic computing systems. Multipliers have large
area, long latency and consume considerable power. Previous work on low-power multipliers focuses on low-level optimizations and
has not considered well the arithmetic computation features and application-specific data characteristics.
Binary multiplier is an integral part of the arithmetic logic unit (ALU) subsystem found in many processors. Booth's algorithm and
others like Wallace-Tree suggest techniques for multiplying signed numbers that works equally well for both negative and positive
multipliers. In this paper, we have used VHDL for describing and verifying a hardware design based on Booth's and some other
efficient algorithms. Timing and correctness properties were verified. Instead of writing Test-Benches & Test-Cases we used Wave-
Form Analyzer which can give a better understanding of Signals & variables and also proved a good choice for simulation of design.
Keywords: Multiplier, FPGA, ALU
------------------------------------------------------------------***----------------------------------------------------------------
1. INTRODUCTION
Low-power design is a different goal from low-energy design
although they are related. Power is a problem primarily when
cooling is a concern. The maximum power at any time, peak
power, is often used for power and ground wiring design,
signal noise margin and reliability analysis. Energy per
operation or task is a better metric of the energy efficiency of
a system, especially in the domain of maximizing battery
lifetime. In digital CMOS design, the well-known power-delay
product is commonly used to assess the merits of designs.
Generally multiplication consists of three steps: generation of
partial products or PPs (PPG), reduction of partial products
(PPR), and final carry-propagate addition (CPA). Different
multiplication algorithms vary in the approaches of PPG, PPR,
and CPA. For PPG, radix-2 digit-vector multiplication is the
simplest form because the digit-vector multiplication is
produced by a set of AND gates. To reduce the number of PPs
and consequently reduce the area/delay of PP reduction, one
operand is usually recoded into high-radix digit sets. The most
popular one is the radix-4 digit set {−2,−1, 0, 1, 2}. For PPR,
two alternatives exist: reduction by rows, performed by an
array of adders, and reduction by columns, performed by an
array of counters. In reduction by rows, there are two extreme
classes: linear array and tree array. Linear array has the delay
of O(n) while both tree array and column reduction have the
delay of O(log n), where n is the number of PPs. The final
CPA requires a fast adder scheme because it is on the critical
path. In some cases, final CPA is postponed if it is
advantageous to keep redundant results from PPG for further
arithmetic operations. Some low-level techniques that has
been studied for multipliers include using voltage scaling,
layout optimization, transistor reordering and sizing, using
pass-transistor logic and swing limited logic, signal polarity
optimization, delay balancing and input synchronization.
However, these techniques have only achieved moderate
improvement on power consumption in multipliers with much
design effort or considerable area/delay overhead. The
difficulty of low-power multiplier design lies in three aspects.
2. INTRODUCTION OF MULTIPIERS:
Multiplication is basically a shift add operation. There are,
however, many variations on how to do it. Some are more
suitable for FPGA use than others , some of them may be
efficient for a system like CPU. This section explores various
verities and attracting features of multiplication hardware.
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 03 | Mar-2013, Available @ http://www.ijret.org 221
Fig: 1 Multiplier Circuit
Fig: 2 Modify Low power Multiplier
The multiplier area is quadratically related to the operand
precision. Second, parallel multipliers have many logic levels
that introduce spurious transitions or glitches. Third, the
structure of parallel multipliers could be very complex in order
to achieve high speed, which deteriorates the efficiency of
layout and circuit level optimization. As a fundamental
arithmetic operation, multiplication has many algorithm-level
and bit-level computation features in which it differs from
random logic. These features have not been considered well in
low-level power optimization. It is also difficult to consider
input data characteristics at low levels. Therefore, it is
desirable to develop algorithm and architecture level power
optimization techniques. We have design many multiplier and
compare all of them that are given below
2.1 BOOTH MULTIPLIER:
Suppose we multiply a*b where is multiplicand and b is
multiplier. The key to Booth’s insight is to divide the groups
bit of multiplier into 3 parts: the beginning, the middle, or the
end of a run of 1s. More specific, the following table explains
in detail:
bi bi-1
Operation
0 0
Do nothing
0 1
Add a
1 0
Subtract a
1 1
Do nothing
Fig: 3Modified Booth Multiplier
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 03 | Mar-2013, Available @ http://www.ijret.org 222
Fig: 4 Simulation result for Modified Booth Multiplier
2.2 COMBINATIONAL MULTIPLIER
To understand the concepts in better way we have carried out
the implementation of small size of combinational Multiplier.
The size can be increased by just increasing the Array size of
inputs and outputs.
Fig: 5 modified Combinational multiplier
Fig: 6 Simulation result modified Combinational multiplier
2.3 SEQUENTIAL MULTIPLIER:
At the start of multiply: the multiplicand is in "md", the
multiplier is in "lo" and "hi" contains 00000000. This
multiplier only works for positive numbers. A booth
Multiplier can be used for twos-complement values. The
VHDL source code for a serial multiplier, using a shortcut
model where a signal acts like a register. "hi" and "lo" are
registers clocked by the condition mulclk'event and
mulclk='1'. At the end of multiply: the upper product is in "hi
and the lower product is in "lo."
Fig: 7 Modified Sequential Multiplier
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 03 | Mar-2013, Available @ http://www.ijret.org 223
Fig: 8 Simulation Result for Modified Sequential Multiplier
3. CSA WALLACE-TREE ARCHITECTURE:
An unsigned multiplier using a carry save adder structure is
one of the efficient design in implementation of Multipliers.
Booth multiplier , two's complement 32-bit multiplicand by
32-bit multiplier input producing 64-bit product can be
implemented using this special kind of Architecture.
Fig: 9 Modified and Optimize CSA Wallace Tree Multiplier
Architecture
Fig: 10 Simulation Result for Modified and Optimize CSA
Wallace Tree Multiplier Architecture
RESULT ANALYSIS
Table 1: Compression between multipliers
CONCLUSIONS
Power dissipation in multiplier designs has been much-
researched in recent years, due to the importance of the
multiplier circuit in a wide variety of microelectronic systems.
The focus of multiplier design has traditionally been delay
optimization, although this design goal has recently been
IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163
__________________________________________________________________________________________
Volume: 02 Issue: 03 | Mar-2013, Available @ http://www.ijret.org 224
supplemented by power consumption considerations. Our goal
has been first to understand how power is dissipated in
multipliers, and secondly to devise ways to reduce this power
consumption.
In this paper, we described previous work which has been
done in the area of multiplier delay and power optimization.
We identified methods by which multiplier delay has been
reduced, and we concentrated on understanding how these
various speedup techniques impact the power dissipation of
the multiplier as a whole. Power savings of up to 25% were
achieved, along with reductions in die area and interconnect.
We have presented an investigation of multiplier power
dissipation, along with some techniques which allow
reductions in power consumption for this circuit. Given the
importance of multipliers, it is likely that further research
efforts will be directed at optimizing this block for delay and
power efficiency.
REFERENCES
[1] A. Abnous and J. Rabaey, “Ultra-low-power domain-
specific multimedia processors,” in VLSI Signal Processing,
IX, pp.461-470, Oct. 1996.
[2] I. Abu-Khater, A. Bellaouar, and M. Elmasry, “Circuit
techniques for CMOS low-power high-performance
multipliers”, IEEE J. Solid-State Circuits, vol.31, no.10,
pp.1535-1546, Oct. 1996.
[3] H. A. Al-Twaijry, Area and Performance Optimized
CMOS Multipliers. Ph.D. dissertation, Stanford University,
Aug. 1997.
[4] M. Alidina, et. al., “Precomputation-based sequential logic
optimization for low power,” IEEE Trans. VLSI Systems,
vol.2, no.4, pp.426-436, Dec. 1994.
[5] F.S. Anderson, et. al., “The IBM system 360/91 floating
point execution unit,” IBM J. Res. Develop., vol.11, pp.34-53,
Jan. 1967.
[6] E. de Angel and E.E. Swartzlander, Jr., “Survey of low
power techniques for VLSI design,” in Proc. 8th Annual IEEE
Int. Conf. Innovative Systems in Silicon, pp.159-169, Oct.
1996.
[7] E. de Angel and E.E. Swartzlander, Jr., “Low power
parallel multipliers,”in VLSI Signal Processing, IX, pp.199-
208, Oct. 1996.
[8] E. de Angel, Low Power Digital Multiplication. Ph.D.
dissertation, The University of Texas at Austin, 1996.
[9] E. de Angel and E.E. Swartzlander, Jr., “Switching activity
in parallel multipliers,” in Proc. 35th Asilomar Conf. Signals,
Systems and Computers, pp.857-860, Nov. 2001.
[10] TSMC 0.18μm Process 1.8-Volt SAGE-X Standard Cell
Library Databook. Artisan Components, Inc., Oct. 2001.
[11] A. Bellaouar and M. Elmasry, Low-power Digital VLSI
Design: Circuits and Systems. Kluwer Academic Publishers,
1995.
[12] G.W. Bewick, Fast Multiplication: Algorithms and
Implementation. Ph.D. dissertation, Stanford University, Feb.
1994.
[13] M. Bhardwaj, R. Min, and A. Chandrakasan, “Power-
aware systems,” in Proc. 34th Asiloma

More Related Content

What's hot

What's hot (15)

IRJET - Demand Response for Energy Management using Machine Learning and LSTM...
IRJET - Demand Response for Energy Management using Machine Learning and LSTM...IRJET - Demand Response for Energy Management using Machine Learning and LSTM...
IRJET - Demand Response for Energy Management using Machine Learning and LSTM...
 
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
Design of a Novel Multiplier and Accumulator using Modified Booth Algorithm w...
 
Towards Efficient Modular Adders based on Reversible Circuits
Towards Efficient Modular Adders based on Reversible CircuitsTowards Efficient Modular Adders based on Reversible Circuits
Towards Efficient Modular Adders based on Reversible Circuits
 
IRJET- Color Image Compression using Canonic Signed Digit and Block based...
IRJET-  	  Color Image Compression using Canonic Signed Digit and Block based...IRJET-  	  Color Image Compression using Canonic Signed Digit and Block based...
IRJET- Color Image Compression using Canonic Signed Digit and Block based...
 
Analysis of various mcm algorithms for reconfigurable rrc fir filter
Analysis of various mcm algorithms for reconfigurable rrc fir filterAnalysis of various mcm algorithms for reconfigurable rrc fir filter
Analysis of various mcm algorithms for reconfigurable rrc fir filter
 
Compare Efficiency of Different Multipliers Using Verilog Simulation & Modify...
Compare Efficiency of Different Multipliers Using Verilog Simulation & Modify...Compare Efficiency of Different Multipliers Using Verilog Simulation & Modify...
Compare Efficiency of Different Multipliers Using Verilog Simulation & Modify...
 
Implementation of MAC using Modified Booth Algorithm
Implementation of MAC using Modified Booth AlgorithmImplementation of MAC using Modified Booth Algorithm
Implementation of MAC using Modified Booth Algorithm
 
2012
20122012
2012
 
Review On Design Of Low Power Multiply And Accumulate Unit Using Baugh-Wooley...
Review On Design Of Low Power Multiply And Accumulate Unit Using Baugh-Wooley...Review On Design Of Low Power Multiply And Accumulate Unit Using Baugh-Wooley...
Review On Design Of Low Power Multiply And Accumulate Unit Using Baugh-Wooley...
 
IRJET- Approximate Multiplier and 8 Bit Dadda Multiplier Implemented through ...
IRJET- Approximate Multiplier and 8 Bit Dadda Multiplier Implemented through ...IRJET- Approximate Multiplier and 8 Bit Dadda Multiplier Implemented through ...
IRJET- Approximate Multiplier and 8 Bit Dadda Multiplier Implemented through ...
 
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible GateIRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
IRJET- Review Paper on Radix-2 DIT Fast Fourier Transform using Reversible Gate
 
Ad4103173176
Ad4103173176Ad4103173176
Ad4103173176
 
Dx34756759
Dx34756759Dx34756759
Dx34756759
 
Bn26425431
Bn26425431Bn26425431
Bn26425431
 
Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology Layout Design of Low Power Half Adder using 90nm Technology
Layout Design of Low Power Half Adder using 90nm Technology
 

Viewers also liked

An interactive image segmentation using multiple user inputªs
An interactive image segmentation using multiple user inputªsAn interactive image segmentation using multiple user inputªs
An interactive image segmentation using multiple user inputªs
eSAT Journals
 
Mapping of genes using cloud technologies
Mapping of genes using cloud technologiesMapping of genes using cloud technologies
Mapping of genes using cloud technologies
eSAT Journals
 
Effect of fly ash on the properties of cement
Effect of fly ash on the properties of cementEffect of fly ash on the properties of cement
Effect of fly ash on the properties of cement
eSAT Journals
 
Elzaki transform homotopy perturbation method for solving gas dynamics equation
Elzaki transform homotopy perturbation method for solving gas dynamics equationElzaki transform homotopy perturbation method for solving gas dynamics equation
Elzaki transform homotopy perturbation method for solving gas dynamics equation
eSAT Journals
 
A review on plant without soil hydroponics
A review on plant without soil   hydroponicsA review on plant without soil   hydroponics
A review on plant without soil hydroponics
eSAT Journals
 
Energetic key for protected communication in wireless sensor network
Energetic key for protected communication in wireless sensor networkEnergetic key for protected communication in wireless sensor network
Energetic key for protected communication in wireless sensor network
eSAT Journals
 
Design analysis & comparsion of intze type water tank for different wind ...
Design analysis & comparsion of intze type water tank for different wind ...Design analysis & comparsion of intze type water tank for different wind ...
Design analysis & comparsion of intze type water tank for different wind ...
eSAT Journals
 
Experimental study on ultrasonic welding of aluminum sheet to copper sheet
Experimental study on ultrasonic welding of aluminum sheet to copper sheetExperimental study on ultrasonic welding of aluminum sheet to copper sheet
Experimental study on ultrasonic welding of aluminum sheet to copper sheet
eSAT Journals
 

Viewers also liked (19)

Health information system for crm implementation in ttd
Health information system for crm implementation in ttdHealth information system for crm implementation in ttd
Health information system for crm implementation in ttd
 
Effect of flow coefficient and loading coefficient on the radial inflow turbi...
Effect of flow coefficient and loading coefficient on the radial inflow turbi...Effect of flow coefficient and loading coefficient on the radial inflow turbi...
Effect of flow coefficient and loading coefficient on the radial inflow turbi...
 
Analysis approach for three phase two level voltage source inverter and five ...
Analysis approach for three phase two level voltage source inverter and five ...Analysis approach for three phase two level voltage source inverter and five ...
Analysis approach for three phase two level voltage source inverter and five ...
 
An interactive image segmentation using multiple user inputªs
An interactive image segmentation using multiple user inputªsAn interactive image segmentation using multiple user inputªs
An interactive image segmentation using multiple user inputªs
 
Mapping of genes using cloud technologies
Mapping of genes using cloud technologiesMapping of genes using cloud technologies
Mapping of genes using cloud technologies
 
Effect of fly ash on the properties of cement
Effect of fly ash on the properties of cementEffect of fly ash on the properties of cement
Effect of fly ash on the properties of cement
 
Decolorization potential of immobilized pseudomonas putida mtcc 1194 with low...
Decolorization potential of immobilized pseudomonas putida mtcc 1194 with low...Decolorization potential of immobilized pseudomonas putida mtcc 1194 with low...
Decolorization potential of immobilized pseudomonas putida mtcc 1194 with low...
 
Elzaki transform homotopy perturbation method for solving gas dynamics equation
Elzaki transform homotopy perturbation method for solving gas dynamics equationElzaki transform homotopy perturbation method for solving gas dynamics equation
Elzaki transform homotopy perturbation method for solving gas dynamics equation
 
Evaluation of topsoil iron oxide from visible spectroscopy
Evaluation of topsoil iron oxide from visible spectroscopyEvaluation of topsoil iron oxide from visible spectroscopy
Evaluation of topsoil iron oxide from visible spectroscopy
 
A model of hybrid genetic algorithm particle swarm optimization(hgapso) based...
A model of hybrid genetic algorithm particle swarm optimization(hgapso) based...A model of hybrid genetic algorithm particle swarm optimization(hgapso) based...
A model of hybrid genetic algorithm particle swarm optimization(hgapso) based...
 
Ontological approach for improving semantic web search results
Ontological approach for improving semantic web search resultsOntological approach for improving semantic web search results
Ontological approach for improving semantic web search results
 
A review on plant without soil hydroponics
A review on plant without soil   hydroponicsA review on plant without soil   hydroponics
A review on plant without soil hydroponics
 
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
Estimation and design of mc ds-cdma for hybrid concatenated coding in high sp...
 
Shear and flexural behavior of ferro cement deep beams
Shear and flexural behavior of ferro cement deep beamsShear and flexural behavior of ferro cement deep beams
Shear and flexural behavior of ferro cement deep beams
 
Energetic key for protected communication in wireless sensor network
Energetic key for protected communication in wireless sensor networkEnergetic key for protected communication in wireless sensor network
Energetic key for protected communication in wireless sensor network
 
A verilog based implementation of transcendental function calculator using co...
A verilog based implementation of transcendental function calculator using co...A verilog based implementation of transcendental function calculator using co...
A verilog based implementation of transcendental function calculator using co...
 
A survey of various scheduling algorithm in cloud computing environment
A survey of various scheduling algorithm in cloud computing environmentA survey of various scheduling algorithm in cloud computing environment
A survey of various scheduling algorithm in cloud computing environment
 
Design analysis & comparsion of intze type water tank for different wind ...
Design analysis & comparsion of intze type water tank for different wind ...Design analysis & comparsion of intze type water tank for different wind ...
Design analysis & comparsion of intze type water tank for different wind ...
 
Experimental study on ultrasonic welding of aluminum sheet to copper sheet
Experimental study on ultrasonic welding of aluminum sheet to copper sheetExperimental study on ultrasonic welding of aluminum sheet to copper sheet
Experimental study on ultrasonic welding of aluminum sheet to copper sheet
 

Similar to Analysis, verification and fpga implementation of low power multiplier

Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...
Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...
Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...
eSAT Journals
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362
Editor IJARCET
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362
Editor IJARCET
 
Implementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDLImplementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDL
paperpublications3
 

Similar to Analysis, verification and fpga implementation of low power multiplier (20)

Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...
Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...
Design of power and delay efficient 32 bit x 32 bit multi precision multiplie...
 
Low Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission GateLow Power and Area Efficient Multiplier Layout using Transmission Gate
Low Power and Area Efficient Multiplier Layout using Transmission Gate
 
Design of area and power efficient half adder using transmission gate
Design of area and power efficient half adder using transmission gateDesign of area and power efficient half adder using transmission gate
Design of area and power efficient half adder using transmission gate
 
Design and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemesDesign and testing of systolic array multiplier using fault injecting schemes
Design and testing of systolic array multiplier using fault injecting schemes
 
High performance low leakage power full subtractor circuit design using rate ...
High performance low leakage power full subtractor circuit design using rate ...High performance low leakage power full subtractor circuit design using rate ...
High performance low leakage power full subtractor circuit design using rate ...
 
Low Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth MultiplierLow Power VLSI Design of Modified Booth Multiplier
Low Power VLSI Design of Modified Booth Multiplier
 
A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs A Survey on Low Power VLSI Designs
A Survey on Low Power VLSI Designs
 
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSA METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
 
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSA METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
 
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONSA METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
A METHODOLOGY FOR IMPROVEMENT OF ROBA MULTIPLIER FOR ELECTRONIC APPLICATIONS
 
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAYPOWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
POWER GATING STRUCTURE FOR REVERSIBLE PROGRAMMABLE LOGIC ARRAY
 
Analysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI DesignAnalysis Of Optimization Techniques For Low Power VLSI Design
Analysis Of Optimization Techniques For Low Power VLSI Design
 
Td ams processing for vlsi implementation of ldpc decoder
Td ams processing for vlsi implementation of ldpc decoderTd ams processing for vlsi implementation of ldpc decoder
Td ams processing for vlsi implementation of ldpc decoder
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362
 
Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362Ijarcet vol-2-issue-7-2357-2362
Ijarcet vol-2-issue-7-2357-2362
 
Implementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDLImplementation of Radix-4 Booth Multiplier by VHDL
Implementation of Radix-4 Booth Multiplier by VHDL
 
IRJET- A Novel Design of Hybrid 2 Bit Magnitude Comparator
IRJET- A Novel Design of Hybrid 2 Bit Magnitude ComparatorIRJET- A Novel Design of Hybrid 2 Bit Magnitude Comparator
IRJET- A Novel Design of Hybrid 2 Bit Magnitude Comparator
 
A SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLE
A SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLEA SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLE
A SURVEY - COMPARISON OF MULTIPLIERS USING DIFFERENT LOGIC STYLE
 
Design and implementation of 15 4 compressor using 1-bit semi domino full add...
Design and implementation of 15 4 compressor using 1-bit semi domino full add...Design and implementation of 15 4 compressor using 1-bit semi domino full add...
Design and implementation of 15 4 compressor using 1-bit semi domino full add...
 
Reconfigurable and versatile bil rc architecture design with an area and powe...
Reconfigurable and versatile bil rc architecture design with an area and powe...Reconfigurable and versatile bil rc architecture design with an area and powe...
Reconfigurable and versatile bil rc architecture design with an area and powe...
 

More from eSAT Journals

Material management in construction – a case study
Material management in construction – a case studyMaterial management in construction – a case study
Material management in construction – a case study
eSAT Journals
 
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materialsLaboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
eSAT Journals
 
Geographical information system (gis) for water resources management
Geographical information system (gis) for water resources managementGeographical information system (gis) for water resources management
Geographical information system (gis) for water resources management
eSAT Journals
 
Estimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn methodEstimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn method
eSAT Journals
 
Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...
eSAT Journals
 

More from eSAT Journals (20)

Mechanical properties of hybrid fiber reinforced concrete for pavements
Mechanical properties of hybrid fiber reinforced concrete for pavementsMechanical properties of hybrid fiber reinforced concrete for pavements
Mechanical properties of hybrid fiber reinforced concrete for pavements
 
Material management in construction – a case study
Material management in construction – a case studyMaterial management in construction – a case study
Material management in construction – a case study
 
Managing drought short term strategies in semi arid regions a case study
Managing drought    short term strategies in semi arid regions  a case studyManaging drought    short term strategies in semi arid regions  a case study
Managing drought short term strategies in semi arid regions a case study
 
Life cycle cost analysis of overlay for an urban road in bangalore
Life cycle cost analysis of overlay for an urban road in bangaloreLife cycle cost analysis of overlay for an urban road in bangalore
Life cycle cost analysis of overlay for an urban road in bangalore
 
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materialsLaboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
Laboratory studies of dense bituminous mixes ii with reclaimed asphalt materials
 
Laboratory investigation of expansive soil stabilized with natural inorganic ...
Laboratory investigation of expansive soil stabilized with natural inorganic ...Laboratory investigation of expansive soil stabilized with natural inorganic ...
Laboratory investigation of expansive soil stabilized with natural inorganic ...
 
Influence of reinforcement on the behavior of hollow concrete block masonry p...
Influence of reinforcement on the behavior of hollow concrete block masonry p...Influence of reinforcement on the behavior of hollow concrete block masonry p...
Influence of reinforcement on the behavior of hollow concrete block masonry p...
 
Influence of compaction energy on soil stabilized with chemical stabilizer
Influence of compaction energy on soil stabilized with chemical stabilizerInfluence of compaction energy on soil stabilized with chemical stabilizer
Influence of compaction energy on soil stabilized with chemical stabilizer
 
Geographical information system (gis) for water resources management
Geographical information system (gis) for water resources managementGeographical information system (gis) for water resources management
Geographical information system (gis) for water resources management
 
Forest type mapping of bidar forest division, karnataka using geoinformatics ...
Forest type mapping of bidar forest division, karnataka using geoinformatics ...Forest type mapping of bidar forest division, karnataka using geoinformatics ...
Forest type mapping of bidar forest division, karnataka using geoinformatics ...
 
Factors influencing compressive strength of geopolymer concrete
Factors influencing compressive strength of geopolymer concreteFactors influencing compressive strength of geopolymer concrete
Factors influencing compressive strength of geopolymer concrete
 
Experimental investigation on circular hollow steel columns in filled with li...
Experimental investigation on circular hollow steel columns in filled with li...Experimental investigation on circular hollow steel columns in filled with li...
Experimental investigation on circular hollow steel columns in filled with li...
 
Experimental behavior of circular hsscfrc filled steel tubular columns under ...
Experimental behavior of circular hsscfrc filled steel tubular columns under ...Experimental behavior of circular hsscfrc filled steel tubular columns under ...
Experimental behavior of circular hsscfrc filled steel tubular columns under ...
 
Evaluation of punching shear in flat slabs
Evaluation of punching shear in flat slabsEvaluation of punching shear in flat slabs
Evaluation of punching shear in flat slabs
 
Evaluation of performance of intake tower dam for recent earthquake in india
Evaluation of performance of intake tower dam for recent earthquake in indiaEvaluation of performance of intake tower dam for recent earthquake in india
Evaluation of performance of intake tower dam for recent earthquake in india
 
Evaluation of operational efficiency of urban road network using travel time ...
Evaluation of operational efficiency of urban road network using travel time ...Evaluation of operational efficiency of urban road network using travel time ...
Evaluation of operational efficiency of urban road network using travel time ...
 
Estimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn methodEstimation of surface runoff in nallur amanikere watershed using scs cn method
Estimation of surface runoff in nallur amanikere watershed using scs cn method
 
Estimation of morphometric parameters and runoff using rs & gis techniques
Estimation of morphometric parameters and runoff using rs & gis techniquesEstimation of morphometric parameters and runoff using rs & gis techniques
Estimation of morphometric parameters and runoff using rs & gis techniques
 
Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...Effect of variation of plastic hinge length on the results of non linear anal...
Effect of variation of plastic hinge length on the results of non linear anal...
 
Effect of use of recycled materials on indirect tensile strength of asphalt c...
Effect of use of recycled materials on indirect tensile strength of asphalt c...Effect of use of recycled materials on indirect tensile strength of asphalt c...
Effect of use of recycled materials on indirect tensile strength of asphalt c...
 

Recently uploaded

Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
jaanualu31
 
Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdf
Kamal Acharya
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
ssuser89054b
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Kandungan 087776558899
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
Neometrix_Engineering_Pvt_Ltd
 
Digital Communication Essentials: DPCM, DM, and ADM .pptx
Digital Communication Essentials: DPCM, DM, and ADM .pptxDigital Communication Essentials: DPCM, DM, and ADM .pptx
Digital Communication Essentials: DPCM, DM, and ADM .pptx
pritamlangde
 

Recently uploaded (20)

PE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and propertiesPE 459 LECTURE 2- natural gas basic concepts and properties
PE 459 LECTURE 2- natural gas basic concepts and properties
 
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills KuwaitKuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
Kuwait City MTP kit ((+919101817206)) Buy Abortion Pills Kuwait
 
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptxHOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
HOA1&2 - Module 3 - PREHISTORCI ARCHITECTURE OF KERALA.pptx
 
Hospital management system project report.pdf
Hospital management system project report.pdfHospital management system project report.pdf
Hospital management system project report.pdf
 
Hostel management system project report..pdf
Hostel management system project report..pdfHostel management system project report..pdf
Hostel management system project report..pdf
 
Max. shear stress theory-Maximum Shear Stress Theory ​ Maximum Distortional ...
Max. shear stress theory-Maximum Shear Stress Theory ​  Maximum Distortional ...Max. shear stress theory-Maximum Shear Stress Theory ​  Maximum Distortional ...
Max. shear stress theory-Maximum Shear Stress Theory ​ Maximum Distortional ...
 
8th International Conference on Soft Computing, Mathematics and Control (SMC ...
8th International Conference on Soft Computing, Mathematics and Control (SMC ...8th International Conference on Soft Computing, Mathematics and Control (SMC ...
8th International Conference on Soft Computing, Mathematics and Control (SMC ...
 
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
XXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXXX
 
Computer Networks Basics of Network Devices
Computer Networks  Basics of Network DevicesComputer Networks  Basics of Network Devices
Computer Networks Basics of Network Devices
 
fitting shop and tools used in fitting shop .ppt
fitting shop and tools used in fitting shop .pptfitting shop and tools used in fitting shop .ppt
fitting shop and tools used in fitting shop .ppt
 
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak HamilCara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
Cara Menggugurkan Sperma Yang Masuk Rahim Biyar Tidak Hamil
 
S1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptx
S1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptxS1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptx
S1S2 B.Arch MGU - HOA1&2 Module 3 -Temple Architecture of Kerala.pptx
 
UNIT 4 PTRP final Convergence in probability.pptx
UNIT 4 PTRP final Convergence in probability.pptxUNIT 4 PTRP final Convergence in probability.pptx
UNIT 4 PTRP final Convergence in probability.pptx
 
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKARHAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
HAND TOOLS USED AT ELECTRONICS WORK PRESENTED BY KOUSTAV SARKAR
 
Integrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - NeometrixIntegrated Test Rig For HTFE-25 - Neometrix
Integrated Test Rig For HTFE-25 - Neometrix
 
Design For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the startDesign For Accessibility: Getting it right from the start
Design For Accessibility: Getting it right from the start
 
Ground Improvement Technique: Earth Reinforcement
Ground Improvement Technique: Earth ReinforcementGround Improvement Technique: Earth Reinforcement
Ground Improvement Technique: Earth Reinforcement
 
Basic Electronics for diploma students as per technical education Kerala Syll...
Basic Electronics for diploma students as per technical education Kerala Syll...Basic Electronics for diploma students as per technical education Kerala Syll...
Basic Electronics for diploma students as per technical education Kerala Syll...
 
Digital Communication Essentials: DPCM, DM, and ADM .pptx
Digital Communication Essentials: DPCM, DM, and ADM .pptxDigital Communication Essentials: DPCM, DM, and ADM .pptx
Digital Communication Essentials: DPCM, DM, and ADM .pptx
 
Augmented Reality (AR) with Augin Software.pptx
Augmented Reality (AR) with Augin Software.pptxAugmented Reality (AR) with Augin Software.pptx
Augmented Reality (AR) with Augin Software.pptx
 

Analysis, verification and fpga implementation of low power multiplier

  • 1. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 03 | Mar-2013, Available @ http://www.ijret.org 220 ANALYSIS, VERIFICATION AND FPGA IMPLEMENTATION OF LOW POWER MULTIPLIER Garima Tiwari M. Tech. Research Scholar, Mewar University, Garimatiwari86@gmail.com Abstract As the scale of integration keeps growing, more and more sophisticated signal processing systems are being implemented on a VLSI chip. These signal processing applications not only demand great computation capacity but also consume considerable amounts of energy. While performance and area remain to be two major design goals, power consumption has become a critical concern in today’s VLSI system design. Multiplication is a fundamental operation in most arithmetic computing systems. Multipliers have large area, long latency and consume considerable power. Previous work on low-power multipliers focuses on low-level optimizations and has not considered well the arithmetic computation features and application-specific data characteristics. Binary multiplier is an integral part of the arithmetic logic unit (ALU) subsystem found in many processors. Booth's algorithm and others like Wallace-Tree suggest techniques for multiplying signed numbers that works equally well for both negative and positive multipliers. In this paper, we have used VHDL for describing and verifying a hardware design based on Booth's and some other efficient algorithms. Timing and correctness properties were verified. Instead of writing Test-Benches & Test-Cases we used Wave- Form Analyzer which can give a better understanding of Signals & variables and also proved a good choice for simulation of design. Keywords: Multiplier, FPGA, ALU ------------------------------------------------------------------***---------------------------------------------------------------- 1. INTRODUCTION Low-power design is a different goal from low-energy design although they are related. Power is a problem primarily when cooling is a concern. The maximum power at any time, peak power, is often used for power and ground wiring design, signal noise margin and reliability analysis. Energy per operation or task is a better metric of the energy efficiency of a system, especially in the domain of maximizing battery lifetime. In digital CMOS design, the well-known power-delay product is commonly used to assess the merits of designs. Generally multiplication consists of three steps: generation of partial products or PPs (PPG), reduction of partial products (PPR), and final carry-propagate addition (CPA). Different multiplication algorithms vary in the approaches of PPG, PPR, and CPA. For PPG, radix-2 digit-vector multiplication is the simplest form because the digit-vector multiplication is produced by a set of AND gates. To reduce the number of PPs and consequently reduce the area/delay of PP reduction, one operand is usually recoded into high-radix digit sets. The most popular one is the radix-4 digit set {−2,−1, 0, 1, 2}. For PPR, two alternatives exist: reduction by rows, performed by an array of adders, and reduction by columns, performed by an array of counters. In reduction by rows, there are two extreme classes: linear array and tree array. Linear array has the delay of O(n) while both tree array and column reduction have the delay of O(log n), where n is the number of PPs. The final CPA requires a fast adder scheme because it is on the critical path. In some cases, final CPA is postponed if it is advantageous to keep redundant results from PPG for further arithmetic operations. Some low-level techniques that has been studied for multipliers include using voltage scaling, layout optimization, transistor reordering and sizing, using pass-transistor logic and swing limited logic, signal polarity optimization, delay balancing and input synchronization. However, these techniques have only achieved moderate improvement on power consumption in multipliers with much design effort or considerable area/delay overhead. The difficulty of low-power multiplier design lies in three aspects. 2. INTRODUCTION OF MULTIPIERS: Multiplication is basically a shift add operation. There are, however, many variations on how to do it. Some are more suitable for FPGA use than others , some of them may be efficient for a system like CPU. This section explores various verities and attracting features of multiplication hardware.
  • 2. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 03 | Mar-2013, Available @ http://www.ijret.org 221 Fig: 1 Multiplier Circuit Fig: 2 Modify Low power Multiplier The multiplier area is quadratically related to the operand precision. Second, parallel multipliers have many logic levels that introduce spurious transitions or glitches. Third, the structure of parallel multipliers could be very complex in order to achieve high speed, which deteriorates the efficiency of layout and circuit level optimization. As a fundamental arithmetic operation, multiplication has many algorithm-level and bit-level computation features in which it differs from random logic. These features have not been considered well in low-level power optimization. It is also difficult to consider input data characteristics at low levels. Therefore, it is desirable to develop algorithm and architecture level power optimization techniques. We have design many multiplier and compare all of them that are given below 2.1 BOOTH MULTIPLIER: Suppose we multiply a*b where is multiplicand and b is multiplier. The key to Booth’s insight is to divide the groups bit of multiplier into 3 parts: the beginning, the middle, or the end of a run of 1s. More specific, the following table explains in detail: bi bi-1 Operation 0 0 Do nothing 0 1 Add a 1 0 Subtract a 1 1 Do nothing Fig: 3Modified Booth Multiplier
  • 3. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 03 | Mar-2013, Available @ http://www.ijret.org 222 Fig: 4 Simulation result for Modified Booth Multiplier 2.2 COMBINATIONAL MULTIPLIER To understand the concepts in better way we have carried out the implementation of small size of combinational Multiplier. The size can be increased by just increasing the Array size of inputs and outputs. Fig: 5 modified Combinational multiplier Fig: 6 Simulation result modified Combinational multiplier 2.3 SEQUENTIAL MULTIPLIER: At the start of multiply: the multiplicand is in "md", the multiplier is in "lo" and "hi" contains 00000000. This multiplier only works for positive numbers. A booth Multiplier can be used for twos-complement values. The VHDL source code for a serial multiplier, using a shortcut model where a signal acts like a register. "hi" and "lo" are registers clocked by the condition mulclk'event and mulclk='1'. At the end of multiply: the upper product is in "hi and the lower product is in "lo." Fig: 7 Modified Sequential Multiplier
  • 4. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 03 | Mar-2013, Available @ http://www.ijret.org 223 Fig: 8 Simulation Result for Modified Sequential Multiplier 3. CSA WALLACE-TREE ARCHITECTURE: An unsigned multiplier using a carry save adder structure is one of the efficient design in implementation of Multipliers. Booth multiplier , two's complement 32-bit multiplicand by 32-bit multiplier input producing 64-bit product can be implemented using this special kind of Architecture. Fig: 9 Modified and Optimize CSA Wallace Tree Multiplier Architecture Fig: 10 Simulation Result for Modified and Optimize CSA Wallace Tree Multiplier Architecture RESULT ANALYSIS Table 1: Compression between multipliers CONCLUSIONS Power dissipation in multiplier designs has been much- researched in recent years, due to the importance of the multiplier circuit in a wide variety of microelectronic systems. The focus of multiplier design has traditionally been delay optimization, although this design goal has recently been
  • 5. IJRET: International Journal of Research in Engineering and Technology ISSN: 2319-1163 __________________________________________________________________________________________ Volume: 02 Issue: 03 | Mar-2013, Available @ http://www.ijret.org 224 supplemented by power consumption considerations. Our goal has been first to understand how power is dissipated in multipliers, and secondly to devise ways to reduce this power consumption. In this paper, we described previous work which has been done in the area of multiplier delay and power optimization. We identified methods by which multiplier delay has been reduced, and we concentrated on understanding how these various speedup techniques impact the power dissipation of the multiplier as a whole. Power savings of up to 25% were achieved, along with reductions in die area and interconnect. We have presented an investigation of multiplier power dissipation, along with some techniques which allow reductions in power consumption for this circuit. Given the importance of multipliers, it is likely that further research efforts will be directed at optimizing this block for delay and power efficiency. REFERENCES [1] A. Abnous and J. Rabaey, “Ultra-low-power domain- specific multimedia processors,” in VLSI Signal Processing, IX, pp.461-470, Oct. 1996. [2] I. Abu-Khater, A. Bellaouar, and M. Elmasry, “Circuit techniques for CMOS low-power high-performance multipliers”, IEEE J. Solid-State Circuits, vol.31, no.10, pp.1535-1546, Oct. 1996. [3] H. A. Al-Twaijry, Area and Performance Optimized CMOS Multipliers. Ph.D. dissertation, Stanford University, Aug. 1997. [4] M. Alidina, et. al., “Precomputation-based sequential logic optimization for low power,” IEEE Trans. VLSI Systems, vol.2, no.4, pp.426-436, Dec. 1994. [5] F.S. Anderson, et. al., “The IBM system 360/91 floating point execution unit,” IBM J. Res. Develop., vol.11, pp.34-53, Jan. 1967. [6] E. de Angel and E.E. Swartzlander, Jr., “Survey of low power techniques for VLSI design,” in Proc. 8th Annual IEEE Int. Conf. Innovative Systems in Silicon, pp.159-169, Oct. 1996. [7] E. de Angel and E.E. Swartzlander, Jr., “Low power parallel multipliers,”in VLSI Signal Processing, IX, pp.199- 208, Oct. 1996. [8] E. de Angel, Low Power Digital Multiplication. Ph.D. dissertation, The University of Texas at Austin, 1996. [9] E. de Angel and E.E. Swartzlander, Jr., “Switching activity in parallel multipliers,” in Proc. 35th Asilomar Conf. Signals, Systems and Computers, pp.857-860, Nov. 2001. [10] TSMC 0.18μm Process 1.8-Volt SAGE-X Standard Cell Library Databook. Artisan Components, Inc., Oct. 2001. [11] A. Bellaouar and M. Elmasry, Low-power Digital VLSI Design: Circuits and Systems. Kluwer Academic Publishers, 1995. [12] G.W. Bewick, Fast Multiplication: Algorithms and Implementation. Ph.D. dissertation, Stanford University, Feb. 1994. [13] M. Bhardwaj, R. Min, and A. Chandrakasan, “Power- aware systems,” in Proc. 34th Asiloma