SlideShare a Scribd company logo
1 of 21
redefining Mixed System-on-Chip Design Rajeev Madhavan CEO and Chairman, Magma Design Automation
Power System-on-Chip Complexity Continues to Rise Memory Computing Motorola DroidX More functionality Much more Analog IP Large, complex digital blocks Shorter         time-to-market Multimedia NFC Connectivity Communication GPS Software Gyrometer
SoC Example - 28nm 4G/3G Wireless Mobile Chip Chip Stats: Instances:  ~20 Million Top Level: 3.5 Million Blocks:  25-30 Block sizes:  100K – 1M cells Clocks:  >70 Frequency: 400MHz-1.5GHz  Utilization:  70-80% Timing Scenarios: 70-80   10 Modes 7 Corners Components Application Processor Modem Display & Imaging Support A/R Interfaces GSM GPS Memory Video CODEC RF Interfaces ADC DAC Graphics Audio CODEC Connectivity SDIO USB SIM GPIO Internal Functions Clock Generation Power Optimization Security PLL Analog Digital Mixed
Challenges to Build this SoC Increasing Analog IP Increasing Digital Capacity & Complexity Final Signoff Closure
Complexity Is Ever Increasing For Analog IP Complexity of analog IP has increased multiple fold Current design flows are manual and very iterative. Not scalable for increasing complexity of analog IP designed using advance process nodes Productivity has to be improved for next generation analog IP
Analog IP Design Flows Have Not Evolved  Traditional Iterative  Analog Design Entire design flow must be manually repeated to accommodate any change in:  Design Specifications & Constraints  Process node Target Fab Each new derivative requires nearly complete redesign
New Model-Based Analog Design Customized Analog IP Optimization Goals Circuit Models Target Specs SerDes Charge Pump Voltage Reg Opamps Layout Process Models (PDK)
Analog Optimization – Multiple Specs in a Week  PLL’s generated for many specs simultaneously (Frequency ranges, Jitter, Bandwidth, Area, Power etc.)
Analog Optimization – Power vs Area Analog optimization provides quick tradeoffs of power and area for design exploration Example:  Regulator circuit Analog optimization characterized on 5 corners Tradeoff 40% power reduction vs 50% area reduction
Challenges to Build this SoC Increasing Analog IP Increasing Digital Capacity & Complexity Final Signoff Closure
Digital Designs: Increasing Capacity Dramatic throughput needed 10M Capacity-Driven Productivity Gap Flat Block Capacity (000s of Instances) 3M 1M 500K Achievable Flat Block Capacity 250K Needed Flat Block Capacity
Limitations in Tool Capacity Create Risk 500K 500K 1M  Limitations force design teams to artificially break up design 5 blocks, 10 blocks, 20 blocks, 50 blocks or more?  Partitioning increases complexity – more iterations!!! Requires budgeting, clocks and interface timing closure Introduces schedule risks, requires more engineering resources 1M
Multi-Threading: Good, but not Good Enough Typical “sweet spot” for EDA multi-threading Number of cores/threads
Current Digital Design Methodology 80M Cells
Multi-million cells/day throughput Design capacity per engineer up to 10M cells, flat! Place & Route Distributed Implementation (Master Process) 4-5M Place & Route Place & Route 1 – Implementation Engineer Place & Route Place & Route New Distributed Digital Design
Benefit of Distributed Design Greatly increases designer productivity Leverages existing hardware and P&R licenses Implements bigger designs faster using existing engineering resources
Design Example ~4M cells hierarchical design Redefined as flat and distributed across 8 servers
Challenges to Build this SoC Increasing Analog IP Increasing Digital Capacity & Complexity Final Signoff Closure
Final Signoff Closure Too Long ~50 days ~50 days 8-20 Iterations Final Netlist Closure: 100 days! 3-5 days per iteration!
New ZERO ECO Approach Best-in-class runtime for each tool  Improves TAT of each iteration Integrated flow  Fewer iterations Result: Faster Total Throughput 20 days 5 days 5 days 10 days Place & Route Multi-Processing Signoff Verification Final Netlist Closure: 40 days! 1-2 days per iteration
Summary Every SoC is Mixed Signal Every EDA tool must focus on Silicon first Mixed Signal SoC redefined  EDA must comprehend & solve new mixed signal challenges

More Related Content

What's hot

"How to Get the Best Deep Learning Performance with the OpenVINO Toolkit," a ...
"How to Get the Best Deep Learning Performance with the OpenVINO Toolkit," a ..."How to Get the Best Deep Learning Performance with the OpenVINO Toolkit," a ...
"How to Get the Best Deep Learning Performance with the OpenVINO Toolkit," a ...Edge AI and Vision Alliance
 
"Object Trackers: Approaches and Applications," a Presentation from Intel
"Object Trackers: Approaches and Applications," a Presentation from Intel"Object Trackers: Approaches and Applications," a Presentation from Intel
"Object Trackers: Approaches and Applications," a Presentation from IntelEdge AI and Vision Alliance
 
142 - Enabling an Immersive Mobile Internet Experience with the ARM Cortex-A8...
142 - Enabling an Immersive Mobile Internet Experience with the ARM Cortex-A8...142 - Enabling an Immersive Mobile Internet Experience with the ARM Cortex-A8...
142 - Enabling an Immersive Mobile Internet Experience with the ARM Cortex-A8...Brian Carlson
 
“SensPro2 Highly Scalable Sensor Hub DSP for Computer Vision, AI and Multi-se...
“SensPro2 Highly Scalable Sensor Hub DSP for Computer Vision, AI and Multi-se...“SensPro2 Highly Scalable Sensor Hub DSP for Computer Vision, AI and Multi-se...
“SensPro2 Highly Scalable Sensor Hub DSP for Computer Vision, AI and Multi-se...Edge AI and Vision Alliance
 
“Secure Hardware Architecture for Embedded Vision,” a Presentation from Neuro...
“Secure Hardware Architecture for Embedded Vision,” a Presentation from Neuro...“Secure Hardware Architecture for Embedded Vision,” a Presentation from Neuro...
“Secure Hardware Architecture for Embedded Vision,” a Presentation from Neuro...Edge AI and Vision Alliance
 

What's hot (7)

"How to Get the Best Deep Learning Performance with the OpenVINO Toolkit," a ...
"How to Get the Best Deep Learning Performance with the OpenVINO Toolkit," a ..."How to Get the Best Deep Learning Performance with the OpenVINO Toolkit," a ...
"How to Get the Best Deep Learning Performance with the OpenVINO Toolkit," a ...
 
"Object Trackers: Approaches and Applications," a Presentation from Intel
"Object Trackers: Approaches and Applications," a Presentation from Intel"Object Trackers: Approaches and Applications," a Presentation from Intel
"Object Trackers: Approaches and Applications," a Presentation from Intel
 
142 - Enabling an Immersive Mobile Internet Experience with the ARM Cortex-A8...
142 - Enabling an Immersive Mobile Internet Experience with the ARM Cortex-A8...142 - Enabling an Immersive Mobile Internet Experience with the ARM Cortex-A8...
142 - Enabling an Immersive Mobile Internet Experience with the ARM Cortex-A8...
 
Call Center
Call CenterCall Center
Call Center
 
“SensPro2 Highly Scalable Sensor Hub DSP for Computer Vision, AI and Multi-se...
“SensPro2 Highly Scalable Sensor Hub DSP for Computer Vision, AI and Multi-se...“SensPro2 Highly Scalable Sensor Hub DSP for Computer Vision, AI and Multi-se...
“SensPro2 Highly Scalable Sensor Hub DSP for Computer Vision, AI and Multi-se...
 
Main (4)
Main (4)Main (4)
Main (4)
 
“Secure Hardware Architecture for Embedded Vision,” a Presentation from Neuro...
“Secure Hardware Architecture for Embedded Vision,” a Presentation from Neuro...“Secure Hardware Architecture for Embedded Vision,” a Presentation from Neuro...
“Secure Hardware Architecture for Embedded Vision,” a Presentation from Neuro...
 

Viewers also liked

Chip ex2011 towerjazz power
Chip ex2011 towerjazz powerChip ex2011 towerjazz power
Chip ex2011 towerjazz powerchiportal
 
Track e the road from 2 d to 3d integration -synopsys
Track e   the road from 2 d to 3d integration -synopsysTrack e   the road from 2 d to 3d integration -synopsys
Track e the road from 2 d to 3d integration -synopsyschiportal
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsyschiportal
 
TRACK B: Open source compliance in embedded systems/ Eli Greenbaum
TRACK B: Open source compliance in embedded systems/ Eli GreenbaumTRACK B: Open source compliance in embedded systems/ Eli Greenbaum
TRACK B: Open source compliance in embedded systems/ Eli Greenbaumchiportal
 
Roman Kaplan, Graduate Student,Technion
Roman Kaplan, Graduate Student,TechnionRoman Kaplan, Graduate Student,Technion
Roman Kaplan, Graduate Student,Technionchiportal
 
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...chiportal
 
TRACK H: On-the-fly design exploration framework for simulation/ lior Altman
TRACK H: On-the-fly design exploration framework for simulation/ lior AltmanTRACK H: On-the-fly design exploration framework for simulation/ lior Altman
TRACK H: On-the-fly design exploration framework for simulation/ lior Altmanchiportal
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsyschiportal
 
Track d more performance less power - freescale final
Track d   more performance less power  - freescale finalTrack d   more performance less power  - freescale final
Track d more performance less power - freescale finalchiportal
 
TRACK A: High Performance Integrated Power Management Platforms for LED light...
TRACK A: High Performance Integrated Power Management Platforms for LED light...TRACK A: High Performance Integrated Power Management Platforms for LED light...
TRACK A: High Performance Integrated Power Management Platforms for LED light...chiportal
 
Track F- Designing the kiler soc - sonics
Track F- Designing the kiler soc - sonicsTrack F- Designing the kiler soc - sonics
Track F- Designing the kiler soc - sonicschiportal
 
TRACK E: Memristors: Not Just Memory/ Shahar Kvatinsky
TRACK E: Memristors: Not Just Memory/ Shahar KvatinskyTRACK E: Memristors: Not Just Memory/ Shahar Kvatinsky
TRACK E: Memristors: Not Just Memory/ Shahar Kvatinskychiportal
 
Mentor graphics minimizing customer returns - new
Mentor graphics  minimizing customer returns - newMentor graphics  minimizing customer returns - new
Mentor graphics minimizing customer returns - newchiportal
 
Clockless design language - ilia greenblat
Clockless design language - ilia greenblatClockless design language - ilia greenblat
Clockless design language - ilia greenblatchiportal
 
Algorithmic Memory Increases Memory Performance by an Order of Magnitude
Algorithmic Memory Increases Memory Performance by an Order of MagnitudeAlgorithmic Memory Increases Memory Performance by an Order of Magnitude
Algorithmic Memory Increases Memory Performance by an Order of Magnitudechiportal
 
The Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control ChallengesThe Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control Challengeschiportal
 
New solutions for wireless infrastructure applications
New solutions for wireless infrastructure applicationsNew solutions for wireless infrastructure applications
New solutions for wireless infrastructure applicationschiportal
 
3D-IC Designs require 3D tools
3D-IC Designs require 3D tools3D-IC Designs require 3D tools
3D-IC Designs require 3D toolschiportal
 

Viewers also liked (20)

Chip ex2011 towerjazz power
Chip ex2011 towerjazz powerChip ex2011 towerjazz power
Chip ex2011 towerjazz power
 
Track e the road from 2 d to 3d integration -synopsys
Track e   the road from 2 d to 3d integration -synopsysTrack e   the road from 2 d to 3d integration -synopsys
Track e the road from 2 d to 3d integration -synopsys
 
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, SynopsysMarco Casale-Rossi, Product Mktg. Manager, Synopsys
Marco Casale-Rossi, Product Mktg. Manager, Synopsys
 
TRACK B: Open source compliance in embedded systems/ Eli Greenbaum
TRACK B: Open source compliance in embedded systems/ Eli GreenbaumTRACK B: Open source compliance in embedded systems/ Eli Greenbaum
TRACK B: Open source compliance in embedded systems/ Eli Greenbaum
 
Roman Kaplan, Graduate Student,Technion
Roman Kaplan, Graduate Student,TechnionRoman Kaplan, Graduate Student,Technion
Roman Kaplan, Graduate Student,Technion
 
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...
TRACK H: Using Formal Tools to Improve the Productivity of Verification at ST...
 
TRACK H: On-the-fly design exploration framework for simulation/ lior Altman
TRACK H: On-the-fly design exploration framework for simulation/ lior AltmanTRACK H: On-the-fly design exploration framework for simulation/ lior Altman
TRACK H: On-the-fly design exploration framework for simulation/ lior Altman
 
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, SynopsysGert Goossens,Sen. Director, ASIP Tools, Synopsys
Gert Goossens,Sen. Director, ASIP Tools, Synopsys
 
Track d more performance less power - freescale final
Track d   more performance less power  - freescale finalTrack d   more performance less power  - freescale final
Track d more performance less power - freescale final
 
TRACK A: High Performance Integrated Power Management Platforms for LED light...
TRACK A: High Performance Integrated Power Management Platforms for LED light...TRACK A: High Performance Integrated Power Management Platforms for LED light...
TRACK A: High Performance Integrated Power Management Platforms for LED light...
 
Track F- Designing the kiler soc - sonics
Track F- Designing the kiler soc - sonicsTrack F- Designing the kiler soc - sonics
Track F- Designing the kiler soc - sonics
 
TRACK E: Memristors: Not Just Memory/ Shahar Kvatinsky
TRACK E: Memristors: Not Just Memory/ Shahar KvatinskyTRACK E: Memristors: Not Just Memory/ Shahar Kvatinsky
TRACK E: Memristors: Not Just Memory/ Shahar Kvatinsky
 
Mentor graphics minimizing customer returns - new
Mentor graphics  minimizing customer returns - newMentor graphics  minimizing customer returns - new
Mentor graphics minimizing customer returns - new
 
Clockless design language - ilia greenblat
Clockless design language - ilia greenblatClockless design language - ilia greenblat
Clockless design language - ilia greenblat
 
Algorithmic Memory Increases Memory Performance by an Order of Magnitude
Algorithmic Memory Increases Memory Performance by an Order of MagnitudeAlgorithmic Memory Increases Memory Performance by an Order of Magnitude
Algorithmic Memory Increases Memory Performance by an Order of Magnitude
 
The Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control ChallengesThe Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
The Shift to 3D-IC Structures - Manufacturing and Process Control Challenges
 
New solutions for wireless infrastructure applications
New solutions for wireless infrastructure applicationsNew solutions for wireless infrastructure applications
New solutions for wireless infrastructure applications
 
3D-IC Designs require 3D tools
3D-IC Designs require 3D tools3D-IC Designs require 3D tools
3D-IC Designs require 3D tools
 
甜點
甜點甜點
甜點
 
Magazin
MagazinMagazin
Magazin
 

Similar to Track e magma redefining mixed so c chipex2011 - magma da

[English]Medium Inc Company Profile
[English]Medium Inc Company Profile[English]Medium Inc Company Profile
[English]Medium Inc Company ProfileJaeKwon9
 
Basic VLSI.ppt
Basic VLSI.pptBasic VLSI.ppt
Basic VLSI.ppt8885684828
 
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).pptsudharani850994
 
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).pptsudharani850994
 
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdfenriquealbabaena6868
 
“The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offer...
“The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offer...“The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offer...
“The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offer...Edge AI and Vision Alliance
 
JP Keynote Nikkei Embedded Processor Symposium 2002
JP Keynote Nikkei Embedded Processor Symposium 2002JP Keynote Nikkei Embedded Processor Symposium 2002
JP Keynote Nikkei Embedded Processor Symposium 2002Lee Flanagin
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionPersiPersi1
 
Cell Today and Tomorrow - IBM Systems and Technology Group
Cell Today and Tomorrow - IBM Systems and Technology GroupCell Today and Tomorrow - IBM Systems and Technology Group
Cell Today and Tomorrow - IBM Systems and Technology GroupSlide_N
 
Mindspeed jim.johnston 4_gwe-spring 1.0
Mindspeed jim.johnston 4_gwe-spring 1.0Mindspeed jim.johnston 4_gwe-spring 1.0
Mindspeed jim.johnston 4_gwe-spring 1.0Carl Ford
 
System On Chip
System On ChipSystem On Chip
System On ChipA B Shinde
 
Cuda meetup presentation 5
Cuda meetup presentation 5Cuda meetup presentation 5
Cuda meetup presentation 5Rihards Gailums
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptmonzhalabs
 
Keys to High Speed Interface Designs Today
Keys to High Speed Interface Designs TodayKeys to High Speed Interface Designs Today
Keys to High Speed Interface Designs TodayJonah_McLeod
 
The Future of Hardware and Software Design Technologies
The Future of Hardware and Software Design TechnologiesThe Future of Hardware and Software Design Technologies
The Future of Hardware and Software Design TechnologiesS3
 

Similar to Track e magma redefining mixed so c chipex2011 - magma da (20)

[English]Medium Inc Company Profile
[English]Medium Inc Company Profile[English]Medium Inc Company Profile
[English]Medium Inc Company Profile
 
Basic VLSI.ppt
Basic VLSI.pptBasic VLSI.ppt
Basic VLSI.ppt
 
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt
 
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt
66_9985_EC535_2012_1__2_1_Introduction to VLSI Design (1).ppt
 
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf
1.1. SOC AND MULTICORE ARCHITECTURES FOR EMBEDDED SYSTEMS (2).pdf
 
“The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offer...
“The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offer...“The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offer...
“The Future of AI is Here Today: Deep Dive into Qualcomm’s On-Device AI Offer...
 
JP Keynote Nikkei Embedded Processor Symposium 2002
JP Keynote Nikkei Embedded Processor Symposium 2002JP Keynote Nikkei Embedded Processor Symposium 2002
JP Keynote Nikkei Embedded Processor Symposium 2002
 
FPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusionFPGA_prototyping proccesing with conclusion
FPGA_prototyping proccesing with conclusion
 
eInfochips Semiconductor Services
eInfochips Semiconductor ServiceseInfochips Semiconductor Services
eInfochips Semiconductor Services
 
Cell Today and Tomorrow - IBM Systems and Technology Group
Cell Today and Tomorrow - IBM Systems and Technology GroupCell Today and Tomorrow - IBM Systems and Technology Group
Cell Today and Tomorrow - IBM Systems and Technology Group
 
Vlsi
VlsiVlsi
Vlsi
 
Mindspeed jim.johnston 4_gwe-spring 1.0
Mindspeed jim.johnston 4_gwe-spring 1.0Mindspeed jim.johnston 4_gwe-spring 1.0
Mindspeed jim.johnston 4_gwe-spring 1.0
 
Isat06 Rev2
Isat06 Rev2Isat06 Rev2
Isat06 Rev2
 
System On Chip
System On ChipSystem On Chip
System On Chip
 
Cuda meetup presentation 5
Cuda meetup presentation 5Cuda meetup presentation 5
Cuda meetup presentation 5
 
Syste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.pptSyste O CHip Concepts for Students.ppt
Syste O CHip Concepts for Students.ppt
 
SoC: System On Chip
SoC: System On ChipSoC: System On Chip
SoC: System On Chip
 
Keys to High Speed Interface Designs Today
Keys to High Speed Interface Designs TodayKeys to High Speed Interface Designs Today
Keys to High Speed Interface Designs Today
 
The Future of Hardware and Software Design Technologies
The Future of Hardware and Software Design TechnologiesThe Future of Hardware and Software Design Technologies
The Future of Hardware and Software Design Technologies
 
Fmcad08
Fmcad08Fmcad08
Fmcad08
 

More from chiportal

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...chiportal
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...chiportal
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technionchiportal
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faradaychiportal
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia chiportal
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzchiportal
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intelchiportal
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed chiportal
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arterischiportal
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtoolchiportal
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQchiportal
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC chiportal
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Siliconchiportal
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retinachiportal
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Siliconchiportal
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductorchiportal
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technionchiportal
 
Prof. Ramez Daniel, Technion
Prof. Ramez Daniel, TechnionProf. Ramez Daniel, Technion
Prof. Ramez Daniel, Technionchiportal
 
Rotem Ben-Hur,Graduate Student,Technio
Rotem Ben-Hur,Graduate Student,TechnioRotem Ben-Hur,Graduate Student,Technio
Rotem Ben-Hur,Graduate Student,Techniochiportal
 

More from chiportal (20)

Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China Prof. Zhihua Wang, Tsinghua University, Beijing, China
Prof. Zhihua Wang, Tsinghua University, Beijing, China
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
Prof. Steve Furber, University of Manchester, Principal Designer of the BBC M...
 
Prof. Uri Weiser,Technion
Prof. Uri Weiser,TechnionProf. Uri Weiser,Technion
Prof. Uri Weiser,Technion
 
Ken Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, FaradayKen Liao, Senior Associate VP, Faraday
Ken Liao, Senior Associate VP, Faraday
 
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 Prof. Danny Raz, Director, Bell Labs Israel, Nokia  Prof. Danny Raz, Director, Bell Labs Israel, Nokia
Prof. Danny Raz, Director, Bell Labs Israel, Nokia
 
Dr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazzDr.Efraim Aharoni, ESD Leader, TowerJazz
Dr.Efraim Aharoni, ESD Leader, TowerJazz
 
Eddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, IntelEddy Kvetny, System Engineering Group Leader, Intel
Eddy Kvetny, System Engineering Group Leader, Intel
 
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 Dr. John Bainbridge, Principal Application Architect, NetSpeed  Dr. John Bainbridge, Principal Application Architect, NetSpeed
Dr. John Bainbridge, Principal Application Architect, NetSpeed
 
Xavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, ArterisXavier van Ruymbeke, App. Engineer, Arteris
Xavier van Ruymbeke, App. Engineer, Arteris
 
Asi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, VtoolAsi Lifshitz, VP R&D, Vtool
Asi Lifshitz, VP R&D, Vtool
 
Zvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQZvika Rozenshein,General Manager, EngineeringIQ
Zvika Rozenshein,General Manager, EngineeringIQ
 
Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC Lewis Chu,Marketing Director,GUC
Lewis Chu,Marketing Director,GUC
 
Kunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-SiliconKunal Varshney, VLSI Engineer, Open-Silicon
Kunal Varshney, VLSI Engineer, Open-Silicon
 
Tuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano RetinaTuvia Liran, Director of VLSI, Nano Retina
Tuvia Liran, Director of VLSI, Nano Retina
 
Sagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-SiliconSagar Kadam, Lead Software Engineer, Open-Silicon
Sagar Kadam, Lead Software Engineer, Open-Silicon
 
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP SemiconductorRonen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
Ronen Shtayer,Director of ASG Operations & PMO, NXP Semiconductor
 
Prof. Emanuel Cohen, Technion
Prof. Emanuel Cohen, TechnionProf. Emanuel Cohen, Technion
Prof. Emanuel Cohen, Technion
 
Prof. Ramez Daniel, Technion
Prof. Ramez Daniel, TechnionProf. Ramez Daniel, Technion
Prof. Ramez Daniel, Technion
 
Rotem Ben-Hur,Graduate Student,Technio
Rotem Ben-Hur,Graduate Student,TechnioRotem Ben-Hur,Graduate Student,Technio
Rotem Ben-Hur,Graduate Student,Technio
 

Recently uploaded

Class 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdfClass 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdfAyushMahapatra5
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17Celine George
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.christianmathematics
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactPECB
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhikauryashika82
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingTechSoup
 
Web & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfWeb & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfJayanti Pande
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphThiyagu K
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxnegromaestrong
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Celine George
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfAdmir Softic
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactdawncurless
 
Unit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptxUnit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptxVishalSingh1417
 
Making and Justifying Mathematical Decisions.pdf
Making and Justifying Mathematical Decisions.pdfMaking and Justifying Mathematical Decisions.pdf
Making and Justifying Mathematical Decisions.pdfChris Hunter
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeThiyagu K
 
Gardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch LetterGardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch LetterMateoGardella
 
Unit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxUnit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxVishalSingh1417
 

Recently uploaded (20)

Class 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdfClass 11th Physics NEET formula sheet pdf
Class 11th Physics NEET formula sheet pdf
 
How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17How to Give a Domain for a Field in Odoo 17
How to Give a Domain for a Field in Odoo 17
 
This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.This PowerPoint helps students to consider the concept of infinity.
This PowerPoint helps students to consider the concept of infinity.
 
Beyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global ImpactBeyond the EU: DORA and NIS 2 Directive's Global Impact
Beyond the EU: DORA and NIS 2 Directive's Global Impact
 
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in DelhiRussian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
Russian Escort Service in Delhi 11k Hotel Foreigner Russian Call Girls in Delhi
 
Grant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy ConsultingGrant Readiness 101 TechSoup and Remy Consulting
Grant Readiness 101 TechSoup and Remy Consulting
 
Web & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdfWeb & Social Media Analytics Previous Year Question Paper.pdf
Web & Social Media Analytics Previous Year Question Paper.pdf
 
Z Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot GraphZ Score,T Score, Percential Rank and Box Plot Graph
Z Score,T Score, Percential Rank and Box Plot Graph
 
Seal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptxSeal of Good Local Governance (SGLG) 2024Final.pptx
Seal of Good Local Governance (SGLG) 2024Final.pptx
 
Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17Advanced Views - Calendar View in Odoo 17
Advanced Views - Calendar View in Odoo 17
 
Key note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdfKey note speaker Neum_Admir Softic_ENG.pdf
Key note speaker Neum_Admir Softic_ENG.pdf
 
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptxINDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
INDIA QUIZ 2024 RLAC DELHI UNIVERSITY.pptx
 
Accessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impactAccessible design: Minimum effort, maximum impact
Accessible design: Minimum effort, maximum impact
 
Unit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptxUnit-IV; Professional Sales Representative (PSR).pptx
Unit-IV; Professional Sales Representative (PSR).pptx
 
Making and Justifying Mathematical Decisions.pdf
Making and Justifying Mathematical Decisions.pdfMaking and Justifying Mathematical Decisions.pdf
Making and Justifying Mathematical Decisions.pdf
 
Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"
Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"
Mattingly "AI & Prompt Design: Structured Data, Assistants, & RAG"
 
Advance Mobile Application Development class 07
Advance Mobile Application Development class 07Advance Mobile Application Development class 07
Advance Mobile Application Development class 07
 
Measures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and ModeMeasures of Central Tendency: Mean, Median and Mode
Measures of Central Tendency: Mean, Median and Mode
 
Gardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch LetterGardella_PRCampaignConclusion Pitch Letter
Gardella_PRCampaignConclusion Pitch Letter
 
Unit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptxUnit-V; Pricing (Pharma Marketing Management).pptx
Unit-V; Pricing (Pharma Marketing Management).pptx
 

Track e magma redefining mixed so c chipex2011 - magma da

  • 1. redefining Mixed System-on-Chip Design Rajeev Madhavan CEO and Chairman, Magma Design Automation
  • 2. Power System-on-Chip Complexity Continues to Rise Memory Computing Motorola DroidX More functionality Much more Analog IP Large, complex digital blocks Shorter time-to-market Multimedia NFC Connectivity Communication GPS Software Gyrometer
  • 3. SoC Example - 28nm 4G/3G Wireless Mobile Chip Chip Stats: Instances: ~20 Million Top Level: 3.5 Million Blocks: 25-30 Block sizes: 100K – 1M cells Clocks: >70 Frequency: 400MHz-1.5GHz Utilization: 70-80% Timing Scenarios: 70-80  10 Modes 7 Corners Components Application Processor Modem Display & Imaging Support A/R Interfaces GSM GPS Memory Video CODEC RF Interfaces ADC DAC Graphics Audio CODEC Connectivity SDIO USB SIM GPIO Internal Functions Clock Generation Power Optimization Security PLL Analog Digital Mixed
  • 4. Challenges to Build this SoC Increasing Analog IP Increasing Digital Capacity & Complexity Final Signoff Closure
  • 5. Complexity Is Ever Increasing For Analog IP Complexity of analog IP has increased multiple fold Current design flows are manual and very iterative. Not scalable for increasing complexity of analog IP designed using advance process nodes Productivity has to be improved for next generation analog IP
  • 6. Analog IP Design Flows Have Not Evolved Traditional Iterative Analog Design Entire design flow must be manually repeated to accommodate any change in: Design Specifications & Constraints Process node Target Fab Each new derivative requires nearly complete redesign
  • 7. New Model-Based Analog Design Customized Analog IP Optimization Goals Circuit Models Target Specs SerDes Charge Pump Voltage Reg Opamps Layout Process Models (PDK)
  • 8. Analog Optimization – Multiple Specs in a Week PLL’s generated for many specs simultaneously (Frequency ranges, Jitter, Bandwidth, Area, Power etc.)
  • 9. Analog Optimization – Power vs Area Analog optimization provides quick tradeoffs of power and area for design exploration Example: Regulator circuit Analog optimization characterized on 5 corners Tradeoff 40% power reduction vs 50% area reduction
  • 10. Challenges to Build this SoC Increasing Analog IP Increasing Digital Capacity & Complexity Final Signoff Closure
  • 11. Digital Designs: Increasing Capacity Dramatic throughput needed 10M Capacity-Driven Productivity Gap Flat Block Capacity (000s of Instances) 3M 1M 500K Achievable Flat Block Capacity 250K Needed Flat Block Capacity
  • 12. Limitations in Tool Capacity Create Risk 500K 500K 1M Limitations force design teams to artificially break up design 5 blocks, 10 blocks, 20 blocks, 50 blocks or more? Partitioning increases complexity – more iterations!!! Requires budgeting, clocks and interface timing closure Introduces schedule risks, requires more engineering resources 1M
  • 13. Multi-Threading: Good, but not Good Enough Typical “sweet spot” for EDA multi-threading Number of cores/threads
  • 14. Current Digital Design Methodology 80M Cells
  • 15. Multi-million cells/day throughput Design capacity per engineer up to 10M cells, flat! Place & Route Distributed Implementation (Master Process) 4-5M Place & Route Place & Route 1 – Implementation Engineer Place & Route Place & Route New Distributed Digital Design
  • 16. Benefit of Distributed Design Greatly increases designer productivity Leverages existing hardware and P&R licenses Implements bigger designs faster using existing engineering resources
  • 17. Design Example ~4M cells hierarchical design Redefined as flat and distributed across 8 servers
  • 18. Challenges to Build this SoC Increasing Analog IP Increasing Digital Capacity & Complexity Final Signoff Closure
  • 19. Final Signoff Closure Too Long ~50 days ~50 days 8-20 Iterations Final Netlist Closure: 100 days! 3-5 days per iteration!
  • 20. New ZERO ECO Approach Best-in-class runtime for each tool  Improves TAT of each iteration Integrated flow  Fewer iterations Result: Faster Total Throughput 20 days 5 days 5 days 10 days Place & Route Multi-Processing Signoff Verification Final Netlist Closure: 40 days! 1-2 days per iteration
  • 21. Summary Every SoC is Mixed Signal Every EDA tool must focus on Silicon first Mixed Signal SoC redefined  EDA must comprehend & solve new mixed signal challenges