SlideShare a Scribd company logo
1 of 18
VHDL
Bahasa Pemodelan Perangkat Keras
Bahasa VHDL adalah bahasa perograman untuk memodelkan
perangkat digital. VHDL telah ditetapkan menjadi salah satu
standar bahasa pemrograman perangkat keras oleh IEEE.
Perangkat keras dalam istilah umum mencakup berbagai jenis
peralatan mulai sistem yang utuh seperti komputer peribadi
sampai perangkat keras sederhana berupa gerbang yang
terdapat dalam IC Komputer. VHDL digunakan untuk:
1. Pengembangan ASIC (Application Spesific Integrated circuit)
2. Memodelkan perilaku sistem bebas dari teknologi targetnya
3. Memodelkan mikro kontroller, koder (decoder), model perilaku
mikroprosesor dan perangkat RAM
Contoh di atas menjelaskan gerbang logika dasar and yang
dimodelkan dalam bahasa VHDL berupa sutu persamaan
bolean.
A
B
Q
VHDL : Q <= A and B
9.1 VHDL Selayang Pandang
VHDL adalah kependekan dari Very Hight Speed Integrated
Circuit Hardwere Description Language. Sebuah bahasa untuk
menjelaskan / memodelkan perangkat keras. Instruksi dalam
VHDL dieksekusi secara bersamaan (Concurent) atau
berurutan (sequential)
Z <=‘1’ when A = ‘01’ else ‘0’
QA = 01 ?
(a)
A (1)
A (0)
Q
(b)
A (1)
A (0)
Q
(c)
Contoh pemodelan pada VHDL dan proses sentesis selanjutnya:
Gambar (a) Pemodelan, (b) Simulasi (c) Sintesis
9.2 Pengembangan ASIC
Tahapan perancangan perangkat
keras
NetList Gerbang
Simulasi VHDLa
Validasi
Layout
Spesifikasi
Model VHDL
Sintesis
 Pengembangan VHDL dimulai dengan
spesifikasi mencakup semua aspek
fungsional dan perilaku pewaktuan
 Kode VHDL dapat disimulasikan dan
diperiksa keseluruhan fungsionalitasnya
 Deskripsi VHDL akan disentesis jika
model telah sesuai dengan yang
diharapkan . Perangkat lunak sintesis
akanmemilih gerbang atau flip-flop
(sesuai ASIC) untuk menghasilkan
deskripsi fungsional
 Simulasi pada level gerbang
dilaksanakan
 Model dibangun dengan bantuan elemen
librari ASIC
 Delay gerbang dan propagasi dihitung.
Nilai delay dapat dimasukkan dalam
setiap VHDL
9.3 Konsep VHDL
Cara Pengeksekusian Instruksi pada VHDL
 Statemen sekuensial: Instruksi instruksi nya dieksekusi
secara berurut, seperti halnya bahasa pemrograman
perangkat lunak biasa. Urutan assigment harus diperhatikan
ketika statement sekuensial digunakan.
 Statement bersamaan (Concurent): semua Komponent dan
instruksi aktif terus menerus. Urutan statement tidak terlalu
masalah. Statement concurent cocok untuk memodelkan
perangkat keras paralel.
Teknik pemoddelan VHDL
 Absraksi memberikan gambaran bagian sistem dengan
tingkat detail yang berbeda. Modul yang hanya diperlukan
untuk simulasi tidak harus dijelaskan secara terperinci seperti
halnya modul yang akan disintesis.
 Modulariti memberikan kemudahan bagi perancang untuk
memilah-milah blok fungsi dan untuk menulis satu model
untuk setiap bagian.
 Hirarki, perancang dapat membuat rancangan di luar sub
modul, yang mungkin terdiri dari beberapa modul. Setiap
level hirarki mungkin memiliki modul pada level abstraksi
yang berbeda. Submodul model tersebut terlihat pada level
hirarki yang lebih rendah.
Abstraksi adalah penyembunyian detail untuk membedakan
antara informasi yang penting dan tidak penting. Suatu model
dikatakan mempunyai abstraksi tertentu jika setiap modulnya
memiliki tingkat abstraksi yang sama. Jika tidak demikian maka
model tersebut merupakan campuran beberapa level.
Prilaku
RTL (Register
Transfer Level)
Logika
Layout
Gambar level abstraksi dalam
perancangan IC
• Deskripsi fungsionalitas, tidak
terdapat clock sistem dan transisi
sinyal, deskripsi dapat disimultan
• Elemen penyimpan dikendalikan
clock sistem, flip-flop digunakan
tersendiri, deskripsi langsung
disentesis
• Rancangan digambarkan sebagai
netlist dengan gerbang logika
(AND,OR,NOT,….) dan flip-flop
• Sel yang berbeda pada teknologi
target ditempatkan pada chip dan
koneksi diurutkan, diperiksa dan
siap untuk diproduksi
Modularitas dan Hirarki adalah pemisahan pada beberapa
bagian rancangan dengan tujuan untuk mengurangi
kompleksitas.
Antarmuka Analog
ASIC
/FPG
ACPU
Memori
ASIC
/FPG
A
Gambar ilustrasi (a) Modularitas (b)
Hirarki
(a) (b)
9.4 Bahas VHDL dan Sintaks
Secara umum penulisan kode VHDL tidak Case sensitive artinya
huruf besar dan kecil tidak dibedakan. Statemen, kumpulan
instruksi, dalam VHDL diakhiri titik koma (“:”). Kita bisa membuat
dalam beberapa baris asal pada setiap barisnya tidak ditutup
dengan tanda titik koma. Pada kumpulan element (list), satu
elemen dengan elemen yang lainnya dipisahkan dengan
koma(“,”). Penempatan sinyal digambarkan dengan operator
assigment terbalik(“<=“).
Kita dapat membuat penanda (identifier) yang kita definisikan
sendiri. Identifier dapat terdiri dari huruf, bilangan dan garis bawah
(Underscore, “-”) dengan syarat dimulai dengan huruf. Disamping itu
idetifier tidak boleh sama dengan kata kunci yang ada pada VHDL
Contoh kode VHDL sederhana
Signal signal bit: bit; -- contoh signal
Sinyal <= ‘0’, -- mulai dengan ‘0’
‘1’ AFTER 20ns, -- dan bergantian setelah
‘0’ aftEr 20 ns, -- setiap 10 menit
‘1’ AfTer 20 ns;
Pada contoh diatas penulisan sinyal dan SINyal dianggap sama.
Perbedaan huruf besar dan kecil tidak diperhatikan.
9.5 Elemen Struktur VHDL
Bagian-bagian utama dalam VHDL adalah:
1. Entitas (Entity), antarmuka yang berisi definisi antar port keluaran/masukan.
2. Arsitektur (Archictectur), berisi penjelasan fungsi modul yang bersesuaian
3. Konfigurasi (Configuration), digunakan untuk tujuan simulasi
4. Paket (Pakage), berisi definisi tipe data, konstanta dan subprogram yang
umum digunakan
ENTITAS ARSITEKTU
R
PROSES/S
UB-
PROGRAM
PAKET
SUB-
PROGRAM
√ √ √ √
KOMPONEN √ √
KONFIGURA
SI
√
KONSTANTA √ √ √ √
TOPE DATA √ √ √ √
PORT √
SINYAL √ √ √
VARIABEL √
Tabel Daftar Deklarasi Objek
9.6 Entitas
Pada bagian ini akan dibahas bagaimana tahapan
pendefinisian sebuah penjumlah lengkap (Full-adder) yang
terdiri dari dua half-adder dan gerbang OR.
Antarmuka yang menghubungkan antar modul dan
lingkungannya ditulis dalam deklarasi entitas yang dimulai
dengan kata kunci ‘entity’. Kemudian dikuti oleh definisi
pengguna, nama yang jelas, dalam contoh ini: HALF_ADDER.
Penjelasan antarmuka ditempatkan antara dua kata kunci ‘is’
dan akhir statemen entitas yang terdiri dari kata kunci ‘end’
dan nama entitasnya. Dalam standar VHDL’93 kata kunci
’entity’ dapat diulang setelah kata kunci ‘end’ agar terlihat
lebih konsisten
Nama sinyal baik yang masuk atau yang keluar dan tipe data
sinyal didefinisikan dalam statemen port yang dimulai dengan
kata kunci ‘port’. Kumpulan port dikurung diantara sepasang
tanda ‘(‘ (kurung buka) dan ‘)’ (kurung tutup). Untuk setiap
kumpulan elemen nama port ditulis diawal diikuti oleh ‘:’ (titik
dua) kemudian diikuti oleh mode port dan tipe datanya. Dalam
kumpulan elemen, tanda ‘;’ (titik koma) digunakan untuk
memisahkan antar elemen-elemen, bukan akhir statemen.
Akhir daftar elemen tidak diikuti oleh ‘;’.
Bebrapa port dengan mode dan tipe data yang sama dapat
dideklarasi dengan satu statemen port di mana port-nya
dipisahkan dengan ‘,’ (koma). Mode port mendefinisikan aliran
data (in: input, misal sinyal yang mempengaruhi perilaku
modul; out: output, misal sinyal yang dihasilkan oleh modul).
Tipe data menentukan range sinyal untuk sinyal selama
simulasi.
entity HALF_ADDER is
port(
A, B: in bit;
SUM, CARRY: out bit);
end HALF_ADDER;
-- VHDL’93 end entity HALF ADDER;
entity ADDER is
port(
A, B: in integer range 0 to 3
SUM: out integer range 0 to 3
CARRY: out bit);
end ADDER
????
SUM
CARRY
A
B

More Related Content

What's hot

Menjelaskan prinsip register
Menjelaskan prinsip registerMenjelaskan prinsip register
Menjelaskan prinsip register
Eko Supriyadi
 
Metode enkripsi caesar cipher
Metode enkripsi caesar cipherMetode enkripsi caesar cipher
Metode enkripsi caesar cipher
Bobby Chandra
 
Bab 5 penyederhanaan fungsi boolean
Bab 5 penyederhanaan fungsi booleanBab 5 penyederhanaan fungsi boolean
Bab 5 penyederhanaan fungsi boolean
Cliquerz Javaneze
 
Organisasi Komputer- representasi informasi
Organisasi Komputer- representasi informasiOrganisasi Komputer- representasi informasi
Organisasi Komputer- representasi informasi
daru2501
 
Bab 7 multiplexing
Bab 7 multiplexingBab 7 multiplexing
Bab 7 multiplexing
brilorabbit
 
Slide week 1b deret fourier & transformasi fourier
Slide week 1b   deret fourier & transformasi fourierSlide week 1b   deret fourier & transformasi fourier
Slide week 1b deret fourier & transformasi fourier
Beny Nugraha
 

What's hot (20)

Pengertian dan Representasi Graph
Pengertian dan Representasi GraphPengertian dan Representasi Graph
Pengertian dan Representasi Graph
 
Menjelaskan prinsip register
Menjelaskan prinsip registerMenjelaskan prinsip register
Menjelaskan prinsip register
 
Panduan Lengkap Analisis Statistika dengan Aplikasi SPSS
Panduan Lengkap Analisis Statistika dengan Aplikasi SPSSPanduan Lengkap Analisis Statistika dengan Aplikasi SPSS
Panduan Lengkap Analisis Statistika dengan Aplikasi SPSS
 
Metode enkripsi caesar cipher
Metode enkripsi caesar cipherMetode enkripsi caesar cipher
Metode enkripsi caesar cipher
 
Bab 5 penyederhanaan fungsi boolean
Bab 5 penyederhanaan fungsi booleanBab 5 penyederhanaan fungsi boolean
Bab 5 penyederhanaan fungsi boolean
 
Matematika Diskrit - 09 graf - 08
Matematika Diskrit - 09 graf - 08Matematika Diskrit - 09 graf - 08
Matematika Diskrit - 09 graf - 08
 
Organisasi Komputer- representasi informasi
Organisasi Komputer- representasi informasiOrganisasi Komputer- representasi informasi
Organisasi Komputer- representasi informasi
 
Bab 7 multiplexing
Bab 7 multiplexingBab 7 multiplexing
Bab 7 multiplexing
 
13 jembatan arus bolak – balik
13 jembatan arus bolak – balik13 jembatan arus bolak – balik
13 jembatan arus bolak – balik
 
relasi himpunan
relasi himpunanrelasi himpunan
relasi himpunan
 
13.analisa korelasi
13.analisa korelasi13.analisa korelasi
13.analisa korelasi
 
Struktur Data Tree
Struktur Data TreeStruktur Data Tree
Struktur Data Tree
 
bahasa pemrograman perangkat keras VHDL
bahasa pemrograman perangkat keras VHDLbahasa pemrograman perangkat keras VHDL
bahasa pemrograman perangkat keras VHDL
 
Transformasi z
Transformasi zTransformasi z
Transformasi z
 
Slide week 1b deret fourier & transformasi fourier
Slide week 1b   deret fourier & transformasi fourierSlide week 1b   deret fourier & transformasi fourier
Slide week 1b deret fourier & transformasi fourier
 
Matematika Diskrit - 06 relasi dan fungsi - 03
Matematika Diskrit - 06 relasi dan fungsi - 03Matematika Diskrit - 06 relasi dan fungsi - 03
Matematika Diskrit - 06 relasi dan fungsi - 03
 
Ruang Hasil kali Dalam ( Aljabar Linear Elementer )
Ruang Hasil kali Dalam ( Aljabar Linear Elementer )Ruang Hasil kali Dalam ( Aljabar Linear Elementer )
Ruang Hasil kali Dalam ( Aljabar Linear Elementer )
 
Matematika Diskrit matriks relasi-dan_fungsi
Matematika Diskrit  matriks relasi-dan_fungsiMatematika Diskrit  matriks relasi-dan_fungsi
Matematika Diskrit matriks relasi-dan_fungsi
 
PKM KC Mevici Dianresti
PKM KC Mevici Dianresti PKM KC Mevici Dianresti
PKM KC Mevici Dianresti
 
Ragam Lisan Dan Tulisan
Ragam Lisan Dan TulisanRagam Lisan Dan Tulisan
Ragam Lisan Dan Tulisan
 

Similar to Pert 10

Object oriented programming menggunakan java
Object oriented programming menggunakan javaObject oriented programming menggunakan java
Object oriented programming menggunakan java
Antara BoyKean
 
Pascal tutorialtpascal701
Pascal tutorialtpascal701Pascal tutorialtpascal701
Pascal tutorialtpascal701
Alvin Setiawan
 
Yunus martha efendy_1100631016_pengertian java me
Yunus martha efendy_1100631016_pengertian java meYunus martha efendy_1100631016_pengertian java me
Yunus martha efendy_1100631016_pengertian java me
yunus martha efendy
 
Yunus martha efendy_1100631016_pengertian java me
Yunus martha efendy_1100631016_pengertian java meYunus martha efendy_1100631016_pengertian java me
Yunus martha efendy_1100631016_pengertian java me
yunus martha efendy
 
Pemrogaman Visual Basic.NET (Modul 1)
Pemrogaman Visual Basic.NET (Modul 1)Pemrogaman Visual Basic.NET (Modul 1)
Pemrogaman Visual Basic.NET (Modul 1)
Materi Kuliah Online
 

Similar to Pert 10 (20)

Bahasa pemrograman vhdl
Bahasa pemrograman vhdlBahasa pemrograman vhdl
Bahasa pemrograman vhdl
 
Pascal buku
Pascal bukuPascal buku
Pascal buku
 
Ppt robot dc rchd
Ppt robot dc rchdPpt robot dc rchd
Ppt robot dc rchd
 
robot motor dc
robot motor dc robot motor dc
robot motor dc
 
Tutor Tasm2
Tutor Tasm2Tutor Tasm2
Tutor Tasm2
 
Modul Mikrokontroler - Bab 3. Pemrograman Mikrokontroler
Modul Mikrokontroler - Bab 3. Pemrograman MikrokontrolerModul Mikrokontroler - Bab 3. Pemrograman Mikrokontroler
Modul Mikrokontroler - Bab 3. Pemrograman Mikrokontroler
 
Ebook pascal.pdf
Ebook pascal.pdfEbook pascal.pdf
Ebook pascal.pdf
 
Object oriented programming menggunakan java
Object oriented programming menggunakan javaObject oriented programming menggunakan java
Object oriented programming menggunakan java
 
Menampilkan Karakter pada Lcd dengan Mikrokontroler ATMEGA16
Menampilkan Karakter pada  Lcd dengan Mikrokontroler ATMEGA16Menampilkan Karakter pada  Lcd dengan Mikrokontroler ATMEGA16
Menampilkan Karakter pada Lcd dengan Mikrokontroler ATMEGA16
 
Pascal tutorialtpascal701
Pascal tutorialtpascal701Pascal tutorialtpascal701
Pascal tutorialtpascal701
 
Pengenalan bahasa c++
Pengenalan bahasa c++Pengenalan bahasa c++
Pengenalan bahasa c++
 
Modul pelatihan praktikum mikrokontroler dengan software proteus
Modul pelatihan praktikum mikrokontroler dengan software proteusModul pelatihan praktikum mikrokontroler dengan software proteus
Modul pelatihan praktikum mikrokontroler dengan software proteus
 
Tutorial VB.Net
Tutorial VB.NetTutorial VB.Net
Tutorial VB.Net
 
Yunus martha efendy_1100631016_pengertian java me
Yunus martha efendy_1100631016_pengertian java meYunus martha efendy_1100631016_pengertian java me
Yunus martha efendy_1100631016_pengertian java me
 
Yunus martha efendy_1100631016_pengertian java me
Yunus martha efendy_1100631016_pengertian java meYunus martha efendy_1100631016_pengertian java me
Yunus martha efendy_1100631016_pengertian java me
 
Ppt robot roda 3 aldi
Ppt robot roda 3 aldiPpt robot roda 3 aldi
Ppt robot roda 3 aldi
 
Pemrogaman Visual Basic.NET (Modul 1)
Pemrogaman Visual Basic.NET (Modul 1)Pemrogaman Visual Basic.NET (Modul 1)
Pemrogaman Visual Basic.NET (Modul 1)
 
Octave dan Mikrokontroller Communication
Octave dan Mikrokontroller CommunicationOctave dan Mikrokontroller Communication
Octave dan Mikrokontroller Communication
 
Tugas mu'thi modul pascal
Tugas mu'thi modul pascalTugas mu'thi modul pascal
Tugas mu'thi modul pascal
 
Modul praktikum instruksi dasar
Modul praktikum instruksi dasarModul praktikum instruksi dasar
Modul praktikum instruksi dasar
 

Recently uploaded

Recently uploaded (20)

2 KISI-KISI Ujian Sekolah Dasar mata pelajaranPPKn 2024.pdf
2 KISI-KISI Ujian Sekolah Dasar  mata pelajaranPPKn 2024.pdf2 KISI-KISI Ujian Sekolah Dasar  mata pelajaranPPKn 2024.pdf
2 KISI-KISI Ujian Sekolah Dasar mata pelajaranPPKn 2024.pdf
 
Modul Projek - Batik Ecoprint - Fase B.pdf
Modul Projek  - Batik Ecoprint - Fase B.pdfModul Projek  - Batik Ecoprint - Fase B.pdf
Modul Projek - Batik Ecoprint - Fase B.pdf
 
(NEW) Template Presentasi UGM 2 (2).pptx
(NEW) Template Presentasi UGM 2 (2).pptx(NEW) Template Presentasi UGM 2 (2).pptx
(NEW) Template Presentasi UGM 2 (2).pptx
 
aksi nyata sosialisasi Profil Pelajar Pancasila.pdf
aksi nyata sosialisasi  Profil Pelajar Pancasila.pdfaksi nyata sosialisasi  Profil Pelajar Pancasila.pdf
aksi nyata sosialisasi Profil Pelajar Pancasila.pdf
 
PELAKSANAAN + Link-Link MATERI Training_ "Effective INVENTORY & WAREHOUSING M...
PELAKSANAAN + Link-Link MATERI Training_ "Effective INVENTORY & WAREHOUSING M...PELAKSANAAN + Link-Link MATERI Training_ "Effective INVENTORY & WAREHOUSING M...
PELAKSANAAN + Link-Link MATERI Training_ "Effective INVENTORY & WAREHOUSING M...
 
Refleksi Mandiri Modul 1.3 - KANVAS BAGJA.pptx.pptx
Refleksi Mandiri Modul 1.3 - KANVAS BAGJA.pptx.pptxRefleksi Mandiri Modul 1.3 - KANVAS BAGJA.pptx.pptx
Refleksi Mandiri Modul 1.3 - KANVAS BAGJA.pptx.pptx
 
Sesi 1_PPT Ruang Kolaborasi Modul 1.3 _ ke 1_PGP Angkatan 10.pptx
Sesi 1_PPT Ruang Kolaborasi Modul 1.3 _ ke 1_PGP Angkatan 10.pptxSesi 1_PPT Ruang Kolaborasi Modul 1.3 _ ke 1_PGP Angkatan 10.pptx
Sesi 1_PPT Ruang Kolaborasi Modul 1.3 _ ke 1_PGP Angkatan 10.pptx
 
Integrasi nasional dalam bingkai bhinneka tunggal ika
Integrasi nasional dalam bingkai bhinneka tunggal ikaIntegrasi nasional dalam bingkai bhinneka tunggal ika
Integrasi nasional dalam bingkai bhinneka tunggal ika
 
PPT Penjumlahan Bersusun Kelas 1 Sekolah Dasar
PPT Penjumlahan Bersusun Kelas 1 Sekolah DasarPPT Penjumlahan Bersusun Kelas 1 Sekolah Dasar
PPT Penjumlahan Bersusun Kelas 1 Sekolah Dasar
 
MODUL P5 KEWIRAUSAHAAN SMAN 2 SLAWI 2023.pptx
MODUL P5 KEWIRAUSAHAAN SMAN 2 SLAWI 2023.pptxMODUL P5 KEWIRAUSAHAAN SMAN 2 SLAWI 2023.pptx
MODUL P5 KEWIRAUSAHAAN SMAN 2 SLAWI 2023.pptx
 
Kontribusi Islam Dalam Pengembangan Peradaban Dunia - KELOMPOK 1.pptx
Kontribusi Islam Dalam Pengembangan Peradaban Dunia - KELOMPOK 1.pptxKontribusi Islam Dalam Pengembangan Peradaban Dunia - KELOMPOK 1.pptx
Kontribusi Islam Dalam Pengembangan Peradaban Dunia - KELOMPOK 1.pptx
 
REFLEKSI MANDIRI_Prakarsa Perubahan BAGJA Modul 1.3.pdf
REFLEKSI MANDIRI_Prakarsa Perubahan BAGJA Modul 1.3.pdfREFLEKSI MANDIRI_Prakarsa Perubahan BAGJA Modul 1.3.pdf
REFLEKSI MANDIRI_Prakarsa Perubahan BAGJA Modul 1.3.pdf
 
Perumusan Visi dan Prakarsa Perubahan.pptx
Perumusan Visi dan Prakarsa Perubahan.pptxPerumusan Visi dan Prakarsa Perubahan.pptx
Perumusan Visi dan Prakarsa Perubahan.pptx
 
presentasi lembaga negara yang ada di indonesia
presentasi lembaga negara yang ada di indonesiapresentasi lembaga negara yang ada di indonesia
presentasi lembaga negara yang ada di indonesia
 
MODUL 1 Pembelajaran Kelas Rangkap-compressed.pdf
MODUL 1 Pembelajaran Kelas Rangkap-compressed.pdfMODUL 1 Pembelajaran Kelas Rangkap-compressed.pdf
MODUL 1 Pembelajaran Kelas Rangkap-compressed.pdf
 
ppt-modul-6-pend-seni-di sd kelompok 2 ppt
ppt-modul-6-pend-seni-di sd kelompok 2 pptppt-modul-6-pend-seni-di sd kelompok 2 ppt
ppt-modul-6-pend-seni-di sd kelompok 2 ppt
 
Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)
Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)
Prakarsa Perubahan ATAP (Awal - Tantangan - Aksi - Perubahan)
 
UT PGSD PDGK4103 MODUL 2 STRUKTUR TUBUH Pada Makhluk Hidup
UT PGSD PDGK4103 MODUL 2 STRUKTUR TUBUH Pada Makhluk HidupUT PGSD PDGK4103 MODUL 2 STRUKTUR TUBUH Pada Makhluk Hidup
UT PGSD PDGK4103 MODUL 2 STRUKTUR TUBUH Pada Makhluk Hidup
 
Pendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptx
Pendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptxPendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptx
Pendidikan-Bahasa-Indonesia-di-SD MODUL 3 .pptx
 
PPT AKUNTANSI KEUANGAN MENENGAH DUA.pptx
PPT AKUNTANSI KEUANGAN MENENGAH DUA.pptxPPT AKUNTANSI KEUANGAN MENENGAH DUA.pptx
PPT AKUNTANSI KEUANGAN MENENGAH DUA.pptx
 

Pert 10

  • 2. Bahasa VHDL adalah bahasa perograman untuk memodelkan perangkat digital. VHDL telah ditetapkan menjadi salah satu standar bahasa pemrograman perangkat keras oleh IEEE. Perangkat keras dalam istilah umum mencakup berbagai jenis peralatan mulai sistem yang utuh seperti komputer peribadi sampai perangkat keras sederhana berupa gerbang yang terdapat dalam IC Komputer. VHDL digunakan untuk: 1. Pengembangan ASIC (Application Spesific Integrated circuit) 2. Memodelkan perilaku sistem bebas dari teknologi targetnya 3. Memodelkan mikro kontroller, koder (decoder), model perilaku mikroprosesor dan perangkat RAM
  • 3. Contoh di atas menjelaskan gerbang logika dasar and yang dimodelkan dalam bahasa VHDL berupa sutu persamaan bolean. A B Q VHDL : Q <= A and B
  • 4. 9.1 VHDL Selayang Pandang VHDL adalah kependekan dari Very Hight Speed Integrated Circuit Hardwere Description Language. Sebuah bahasa untuk menjelaskan / memodelkan perangkat keras. Instruksi dalam VHDL dieksekusi secara bersamaan (Concurent) atau berurutan (sequential)
  • 5. Z <=‘1’ when A = ‘01’ else ‘0’ QA = 01 ? (a) A (1) A (0) Q (b) A (1) A (0) Q (c) Contoh pemodelan pada VHDL dan proses sentesis selanjutnya: Gambar (a) Pemodelan, (b) Simulasi (c) Sintesis
  • 6. 9.2 Pengembangan ASIC Tahapan perancangan perangkat keras NetList Gerbang Simulasi VHDLa Validasi Layout Spesifikasi Model VHDL Sintesis  Pengembangan VHDL dimulai dengan spesifikasi mencakup semua aspek fungsional dan perilaku pewaktuan  Kode VHDL dapat disimulasikan dan diperiksa keseluruhan fungsionalitasnya  Deskripsi VHDL akan disentesis jika model telah sesuai dengan yang diharapkan . Perangkat lunak sintesis akanmemilih gerbang atau flip-flop (sesuai ASIC) untuk menghasilkan deskripsi fungsional  Simulasi pada level gerbang dilaksanakan  Model dibangun dengan bantuan elemen librari ASIC  Delay gerbang dan propagasi dihitung. Nilai delay dapat dimasukkan dalam setiap VHDL
  • 7. 9.3 Konsep VHDL Cara Pengeksekusian Instruksi pada VHDL  Statemen sekuensial: Instruksi instruksi nya dieksekusi secara berurut, seperti halnya bahasa pemrograman perangkat lunak biasa. Urutan assigment harus diperhatikan ketika statement sekuensial digunakan.  Statement bersamaan (Concurent): semua Komponent dan instruksi aktif terus menerus. Urutan statement tidak terlalu masalah. Statement concurent cocok untuk memodelkan perangkat keras paralel.
  • 8. Teknik pemoddelan VHDL  Absraksi memberikan gambaran bagian sistem dengan tingkat detail yang berbeda. Modul yang hanya diperlukan untuk simulasi tidak harus dijelaskan secara terperinci seperti halnya modul yang akan disintesis.  Modulariti memberikan kemudahan bagi perancang untuk memilah-milah blok fungsi dan untuk menulis satu model untuk setiap bagian.  Hirarki, perancang dapat membuat rancangan di luar sub modul, yang mungkin terdiri dari beberapa modul. Setiap level hirarki mungkin memiliki modul pada level abstraksi yang berbeda. Submodul model tersebut terlihat pada level hirarki yang lebih rendah.
  • 9. Abstraksi adalah penyembunyian detail untuk membedakan antara informasi yang penting dan tidak penting. Suatu model dikatakan mempunyai abstraksi tertentu jika setiap modulnya memiliki tingkat abstraksi yang sama. Jika tidak demikian maka model tersebut merupakan campuran beberapa level. Prilaku RTL (Register Transfer Level) Logika Layout Gambar level abstraksi dalam perancangan IC • Deskripsi fungsionalitas, tidak terdapat clock sistem dan transisi sinyal, deskripsi dapat disimultan • Elemen penyimpan dikendalikan clock sistem, flip-flop digunakan tersendiri, deskripsi langsung disentesis • Rancangan digambarkan sebagai netlist dengan gerbang logika (AND,OR,NOT,….) dan flip-flop • Sel yang berbeda pada teknologi target ditempatkan pada chip dan koneksi diurutkan, diperiksa dan siap untuk diproduksi
  • 10. Modularitas dan Hirarki adalah pemisahan pada beberapa bagian rancangan dengan tujuan untuk mengurangi kompleksitas. Antarmuka Analog ASIC /FPG ACPU Memori ASIC /FPG A Gambar ilustrasi (a) Modularitas (b) Hirarki (a) (b)
  • 11. 9.4 Bahas VHDL dan Sintaks Secara umum penulisan kode VHDL tidak Case sensitive artinya huruf besar dan kecil tidak dibedakan. Statemen, kumpulan instruksi, dalam VHDL diakhiri titik koma (“:”). Kita bisa membuat dalam beberapa baris asal pada setiap barisnya tidak ditutup dengan tanda titik koma. Pada kumpulan element (list), satu elemen dengan elemen yang lainnya dipisahkan dengan koma(“,”). Penempatan sinyal digambarkan dengan operator assigment terbalik(“<=“).
  • 12. Kita dapat membuat penanda (identifier) yang kita definisikan sendiri. Identifier dapat terdiri dari huruf, bilangan dan garis bawah (Underscore, “-”) dengan syarat dimulai dengan huruf. Disamping itu idetifier tidak boleh sama dengan kata kunci yang ada pada VHDL Contoh kode VHDL sederhana Signal signal bit: bit; -- contoh signal Sinyal <= ‘0’, -- mulai dengan ‘0’ ‘1’ AFTER 20ns, -- dan bergantian setelah ‘0’ aftEr 20 ns, -- setiap 10 menit ‘1’ AfTer 20 ns; Pada contoh diatas penulisan sinyal dan SINyal dianggap sama. Perbedaan huruf besar dan kecil tidak diperhatikan.
  • 13. 9.5 Elemen Struktur VHDL Bagian-bagian utama dalam VHDL adalah: 1. Entitas (Entity), antarmuka yang berisi definisi antar port keluaran/masukan. 2. Arsitektur (Archictectur), berisi penjelasan fungsi modul yang bersesuaian 3. Konfigurasi (Configuration), digunakan untuk tujuan simulasi 4. Paket (Pakage), berisi definisi tipe data, konstanta dan subprogram yang umum digunakan
  • 14. ENTITAS ARSITEKTU R PROSES/S UB- PROGRAM PAKET SUB- PROGRAM √ √ √ √ KOMPONEN √ √ KONFIGURA SI √ KONSTANTA √ √ √ √ TOPE DATA √ √ √ √ PORT √ SINYAL √ √ √ VARIABEL √ Tabel Daftar Deklarasi Objek
  • 15. 9.6 Entitas Pada bagian ini akan dibahas bagaimana tahapan pendefinisian sebuah penjumlah lengkap (Full-adder) yang terdiri dari dua half-adder dan gerbang OR. Antarmuka yang menghubungkan antar modul dan lingkungannya ditulis dalam deklarasi entitas yang dimulai dengan kata kunci ‘entity’. Kemudian dikuti oleh definisi pengguna, nama yang jelas, dalam contoh ini: HALF_ADDER. Penjelasan antarmuka ditempatkan antara dua kata kunci ‘is’ dan akhir statemen entitas yang terdiri dari kata kunci ‘end’ dan nama entitasnya. Dalam standar VHDL’93 kata kunci ’entity’ dapat diulang setelah kata kunci ‘end’ agar terlihat lebih konsisten
  • 16. Nama sinyal baik yang masuk atau yang keluar dan tipe data sinyal didefinisikan dalam statemen port yang dimulai dengan kata kunci ‘port’. Kumpulan port dikurung diantara sepasang tanda ‘(‘ (kurung buka) dan ‘)’ (kurung tutup). Untuk setiap kumpulan elemen nama port ditulis diawal diikuti oleh ‘:’ (titik dua) kemudian diikuti oleh mode port dan tipe datanya. Dalam kumpulan elemen, tanda ‘;’ (titik koma) digunakan untuk memisahkan antar elemen-elemen, bukan akhir statemen. Akhir daftar elemen tidak diikuti oleh ‘;’.
  • 17. Bebrapa port dengan mode dan tipe data yang sama dapat dideklarasi dengan satu statemen port di mana port-nya dipisahkan dengan ‘,’ (koma). Mode port mendefinisikan aliran data (in: input, misal sinyal yang mempengaruhi perilaku modul; out: output, misal sinyal yang dihasilkan oleh modul). Tipe data menentukan range sinyal untuk sinyal selama simulasi.
  • 18. entity HALF_ADDER is port( A, B: in bit; SUM, CARRY: out bit); end HALF_ADDER; -- VHDL’93 end entity HALF ADDER; entity ADDER is port( A, B: in integer range 0 to 3 SUM: out integer range 0 to 3 CARRY: out bit); end ADDER ???? SUM CARRY A B