SlideShare a Scribd company logo
SYNTHESIS & OPTIMIZATION
OF DIGITAL CIRCUITS
Prabhavathi P,
Associate Professor,
Department of ECE
(14EVE41)
B N M Institute of Technology,
12th Main, 27th Cross, BSK II Stage,
Banashankari, Bengaluru- 560070
Karnataka, India
SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS
-14EVE41
Motivation.-Microelectronics , Microeconomics
Introduction
Design Challenges
Design Process and Design Styles
Microelectronics and Microeconomics
Synthesis and Optimization – Automated Synthesis
Design Space and Design Evaluation Space
Page 8
Page 14
Page 19
Page 22
Page 25
Page 27
Page 42
4-Feb-2017
2SODC_I
Outline
Course objective and Outcomes Page 3
Course description
Subject: Synthesis and Optimization of Digital
circuits
4-Feb-2017
3SODC_I
Subject Code 14EVE41 Exam
Hours
03
No. of Lecture Hours
/week
04 IA
Marks
50
Total no. of Lecture
Hours
50 Exam
Marks
100
Teaching Scheme Credits Examination Marks
L T P External
exam
Tutorial Internal
Tests
Total
4 2 0 100 20 30 150
SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS
-14EVE41
Course description
4-Feb-2017
4SODC_I
The aim of this course is to present automatic logic synthesis techniques
for computer-aided design (CAD) of very large-scale integrated (VLSI)
circuits and systems. This is a highly active research area, enabling the
design of increasingly complex digital systems.
This course will broadly survey the state of the art, and give a detailed
study of various problems, pertaining to the logic-level synthesis of VLSI
circuits and systems, including: two-level Boolean network
optimization, multi-level Boolean network optimization, technology
mapping for library-based designs and field-programmable gate-array
(FPGA) designs, and state-assignment and re-timing for sequential circuits.
The course will also cover various representations of Boolean
functions, such as binary decision diagrams (BDDs), and discuss their
applications in logic synthesis
NOTE: Students will be expected to implement a variety of Verilog and C/C++ projects
throughout the semester. While specific programming assignments may change with the course
offering, projects typically focus on the implementation of optimization and synthesis methods
discussed in class, as well as the RTL design.
SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS
-14EVE41
Course Objectives
4-Feb-2017
5SODC_I
To introduce students to basic optimization techniques used in circuits
design
To introduce students to advanced tools and techniques in digital
systems design. These include Hardware Modeling and Compilation
Techniques.
To introduce in details Logic-Level synthesis and optimization
techniques for combinational and sequential circuits.
To introduce students to Library binding algorithms to achieve
implementations with specific cell libraries.
To get an idea about the algorithms used in building commercial
computer-aided design tools like Synopsis, Cadence, etc.
To build sufficient background such that one can understand research
papers in the area
To understand why these algorithms are considered computationally
expensive and why commercial tools are so costly
SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS
-14EVE41
Reference Books
4-Feb-2017
6SODC_I
1. Giovanni De Micheli, “Synthesis and Optimization of Digital
Circuits”, Tata McGraw-Hill, 2003.
2. Srinivas Devadas, Abhijit Ghosh, and Kurt Keutzer, “Logic
Synthesis”, McGraw-Hill, USA, 1994.
3. Neil Weste and K. Eshragian, “Principles of CMOS VLSI Design:
A System Perspective”, 2nd edition, Pearson
Education(Asia) Pvt. Ltd., 2000.
4. Kevin Skahill, “VHDL for Programmable Logic”, Pearson
Education(Asia) Pvt. Ltd., 2000
SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS
Outcomes of the course
At the end of the course the student will be able to
1. Understand the process of synthesis and optimization in a
top down approach for digital circuits models using
HDLs.
2. Understand the terminologies of graph theory and its
algorithms to optimize a Boolean equation
3. Apply different two level and multilevel optimization
algorithms for combinational circuits
4. Apply the different sequential circuit optimization
methods using state models and network models
5. Apply different scheduling algorithms with resource
binding and without resource binding for pipelined
sequential circuits and extended sequencing models.
6. Understand the role of verification and testing using
CAD as another dimension of optimization.
4-Feb-2017
7SODC_I
Agenda
•Introduction
– Microelectronics
– Micro “economics”
– What is “design”?
– Design styles
– Computer Aided Design
• Techniques for Digital Synthesis
– Architectural-Level Synthesis
– Logic-Level Synthesis
– Geometric Synthesis
• Logic Synthesis: an Overview
• Design Space and Optimization
4-Feb-2017
8SODC_I
INTRODUCTION
Introduction
Very Large Scale Integration (VLSI)
Computer-Aided Design
Design Process
Design Styles
9SODC_I
4-Feb-2017
Introduction - VLSI
•IC technology has progressed tremendously over 40 yrs.
– Moore‟s Law [SSI - „60, MSI - „70, VLSI - „90, ?? - ‟00]
Costs have increased tremendously as well
– Larger capital investment due to cost of refining precision
– Larger scale increases effort to achieve zero-defect design
• ICs are nearly impossible to repair
• The design must be correct (and manufacturing defects limited)
– Design and manufacturing costs must be recovered via sales
• Few designs do enjoy a high volume of sales or long life
• Many systems require specialized devices (ASICs) – few hold a
significant market share individually
• Improvement of technology causes immediate obsolescence
• Microelectronics is the Enabling Technology
(Micro-Electro-Mechanics more recently)
• Design Automation is the Enabling Tool
4-Feb-2017
10SODC_I
SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS
DESIGNTECHNOLOGYCHALLENGES
Design Technology Challenges
•Productivity
• Design meaningfully with huge number of transistors
•Power
• Design under the single-chip package power limit
•Manufacturing Integration
•Interference
• Resource-efficient communication and synchronization
•Error-Tolerance
• Relaxation of the requirement of 100% correctness
•Source: ITRS 2001 Edition
11
4-Feb-2017
SODC_I
COMPUTERAIDEDDESIGN
Computer Aided Design
•Role
•History
•Difficulty: size, NP-hard
•Market and Key Players
• Tens of billions $ industry
• 4 major CAD companies
• CAD groups in large companies
• Universities with strong CAD groups
•Conferences and Journals
12SODC_I
4-Feb-2017
BRIEFHISTORYOFCAD
CAD - History
13
•1950-1965
• manual design, impractical algorithms (even for MSI).
•1965-1975
• physical design tools for automatic layout of gate arrays
• IBM: Engineering Design System
• AT&T: LTX system for standard cells
• logic design tools:
• IBM‟s Mini: 2-level heuristic minimizer
• Espresso: (IBM and Berkeley) PLA-based design
•1975-1985
• placement and routing, technology mapping, multi-level
optimization
• theoretical development in physical design
•1985-
• performance/power driven design methodologies
• parallel algorithm, graph theory, combinatorial optimization
problems
4-Feb-2017
SODC_I
Historical Perspective
• The earliest CAD tools targeted automatic layout at physical
level of abstraction (1960s, Engineering Design System, IBM).
• As Large-scale integration (LSI) became possible, the CAD-tools
shifted to transistor- and logic-levels of abstraction
(MINI, IBM, 1970s)
• Logic synthesis tools became popular in the early 1980s, as VLSI
technology matured (Multiple-level Interactive System
MIS, Berkeley University)
• The early high-level synthesis systems were not coupled with
logic synthesis tools (Alert, IBM)
• The first fully integrated systems were IBM‟s Yorktown Silicon
Compiler and the CATHEDRAL system developed at the
Catholic University of Leuven, Belgium.
• Fully integrated CAD system are normally developed for a
specific application and implementation styles, e.g.
CATHEDRAL transforms behavioral model of a particular class
of designs (digital signal processors) into circuits with particular
design styles
4-Feb-2017
14SODC_I
Design Challenges
• Systems are becoming huge, design schedules are
getting tighter
– 20 Mio gates becoming common for ASICs
– 0.4 Mio lines of C-code to describe system behavior
– 5 Mi lines of RTL code
• Design teams are getting very large for big projects
– several hundred people
– differences in skills
– concurrent work on multiple levels
– management of design complexity and communication
very difficult
4-Feb-2017
15SODC_I
Stages of IC design
4-Feb-2017
16SODC_I
Focus of this Course
• CAD tools for synthesis and verification at
logic level of abstraction
• Theory behind: functions representation and
manipulation
– representation data structures
– manipulation algorithms
• In-depth course:
– you should be able create a small CAD-tool
4-Feb-2017
17SODC_I
DESIGNPROCESS
Design Process
•System Specification
• size, speed, power, and functionality factors to consider:
performance, functionality, physical dimension (area)
•Functional Design
• Determine the timing diagram and other relationships between sub-units.
•Logic Design
• Derive and test the functionality, usually in boolean expressions and graphic
description minimization (or optimization) to achieve the smallest logic design
•Circuit Design
• Develop a circuit representation (circuit diagram) based on the logic design
•Physical Design
• Geometric representation of circuit components, layout and connection
(partitioning, floor-planning and placement, routing, compaction), most complex
and time consuming process
•Fabrication
• Preparation of wafer (the silicon base for the circuit), deposition, and diffusion of
various materials on the wafer according to the layout description
•Packaging
• Packaging, testing, and debugging: each chip is packaged and tested to ensure that
it meets all the design specifications.
18
4-Feb-2017
SODC_I
Design Styles
4-Feb-2017
19SODC_I
Semicustom
Cell based Array based
Standard
cells
Hierarchical
Cells
Macro Cells
Generators
Memory
PLA
Sparse logic
Gate Matrics
Pre-diffused
Gate arrays
Sea of Gates
Compacted arrays
Prewired
Anti-fuse based
Memory based
Comparison of Design styles
4-Feb-2017
20SODC_I
Custom Cell based Pre
diffused
Prewired
Density Very high High High Medium - low
Performance Very high High High Medium - low
Flexibility Very high High Medium Low
Design Time Very long Short Short Very short
Manufacturing time Medium Medium Short Very short
Cost – Low volume Very high High High Low
Cost – High Volume Low Low Low High
What is a microelectronic component?
• Devices which exploit the properties of semiconductor
materials
• Constructed by patterning a substrate and locally
modifying its properties to shape “wires” and logical
“devices”
• Complex functions are “integrated” into one physical
package
• Fabrication is very complex Microelectronic
components enable “smart” system
Microelectronic components enable “smart” systems
• Prevalent in modern systems
• Failures are not taken well - most applications are “critical”
4-Feb-2017
21SODC_I
Introduction - Microelectronics and Microeconomics
Overview: “Micro” Economics
•How can costs be reduced and net profit
increased?
– Minimize Design (and test) time Reduces both time-to-
market and designers‟ salaries
– Increase quality of design to increase fabrication yield
and provide competitive performance
• Design automation techniques provide an
effective means for designing economically
viable products
– Carrying out a full design w/o errors is increasingly
difficult w/o systematic techniques to handle data
– CAD techniques tend to focus on Digital Synchronous
circuits as they represent the vast majority of circuits in
the market
4-Feb-2017
22SODC_I
SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS
Overview of Synthesis and Optimization
4-Feb-2017
23SODC_I
Scheduling Sharing
Boolean
Function
Minimization
Boolean
Relation
Minimization
State
Minimization
Graph
Theory
Boolean
Algebra
Synthesis & Optimization
Architectural Level Logic Level
Architectural Level
SatisfiabilityCoveringColoring
Overview: What is “Design”?
4-Feb-2017
24SODC_I
Automated Synthesis
4-Feb-2017
25SODC_I
Automated Synthesis
4-Feb-2017
26SODC_I
Example of Architectural view
4-Feb-2017
27SODC_I
Source: Giovanni De Micheli, “Synthesis and Optimization of
Digital Circuits”, Tata McGraw-Hill, 2003.
Example of Logic Synthesis
4-Feb-2017
28SODC_I
Source: Giovanni De Micheli, “Synthesis and Optimization of
Digital Circuits”, Tata McGraw-Hill, 2003.
High-level Synthesis
•High-level (Architectural-level) synthesis deals with
the transformation of an abstract model of behavior
into a model consisting of standard functional units
• Goal: to construct the macroscopic structure of a
circuit
• Input: an abstract model of behavior
- Common Abstract Models: HDLs, State
diagrams, ASM charts, Sequencing graphs or
Control/Data-flow graphs.
• Output: a structural view of the circuit, in particular of
its Datapath, and a logic-level specification of its
control unit
- often referred to as the register-transfer level or
macro-module model
4-Feb-2017
29SODC_I
High-level Synthesis
4-Feb-2017
30SODC_I
High-level Synthesis
•Measuring cost
• Evaluation Metrics: area, cycle-time (clock
period), latency, and throughput (pipelines)
• The objectives form a n-dimensional design space
• Architectural exploration is the traversal of the design
space to provide a spectrum of solutions for the
designers selection
• Generally only the resources are considered (resource
dominant)
•The fundamental architectural synthesis problem
• Explore the design space to minimize “cost” given:
• A circuit model (behavioral)
• A set of constraints (on cost)
• A set of functional resources (characterized for
area, delay, etc.)
4-Feb-2017
31SODC_I
Why Logic Level?
• Logic-level synthesis is the core of today's CAD flows for
IC and system design
– course covers many algorithms and data structures that are used
in a broad range of CAD tools
– basis for other optimization techniques
– basis for functional verification techniques
• Most algorithms are computationally hard
– covered algorithms and flows are good example for approaching
hard algorithmic problems
– course covers theory as well as implementation details
– demonstrates an engineering approaches based on theoretical
solid but also practical solutions
• Very few research areas can offer this combination
4-Feb-2017
32SODC_I
Logic Synthesis
4-Feb-2017
33SODC_I
Typical Logic Synthesis Flow
4-Feb-2017
34SODC_I
Objectives of Logic Synthesis
• Minimize area
– in terms of literal count, gate count, register count, etc.
• Minimize power
– in terms of switching activity in individual
gates, blocks, etc.
• Maximize performance
– in terms of maximal clock frequency of
synchronous systems,throughput for
asynchronous systems
• Any combination of the above
– combined with different weights
– formulated as a constraint problem
• “minimize area for a clock speed > 300MHz”
4-Feb-2017
35SODC_I
Constraints on Synthesis
•Given implementation style:
– two-level implementation (PLA)
– multi-level logic (ASIC or FPGA)
• Given performance requirements
– minimal clock speed requirement
• Given cell library
– set of cells in standard cell library
– fan-out constraints (maximum number of gates connected
to another gate)
4-Feb-2017
36SODC_I
Mapping functions into gates
• One of the main steps of logic synthesis is to
map a given Boolean functions into a
combinational circuit with the minimum “cost”
• This task is VERY hard because:
– Usually, many different circuits can
implement the same function
• How to search for a “best circuit”: (1) construct
one circuit and try to improve it, or (2) directly
construct the best
• How to decide which gates to use
(AND, OR, NOT, XOR, …)
• How to evaluate “cost” (gates, variables, …)
4-Feb-2017
37SODC_I
Design Space
4-Feb-2017
38SODC_I
Optimization trade – off in Combinational circuits
4-Feb-2017
39SODC_I
Optimization trade – off in Sequential Circuits
4-Feb-2017
40SODC_I
Source: Giovanni De Micheli, “Synthesis and Optimization of
Digital Circuits”, Tata McGraw-Hill, 2003.
Synthesis and Optimization – Simplified view
4-Feb-2017
41SODC_I
Source: Giovanni De Micheli, “Synthesis and Optimization of
Digital Circuits”, Tata McGraw-Hill, 2003.
Pareto Points
• Multi – criteria Optimization
• Multiple Objectives
• Pareto Point
• A Point of the design space is a Pareto Point if
there is no other point with
• At least one inferior objective
• All other objectives are inferior or equal
4-Feb-2017
42SODC_I
4-Feb-2017
43SODC_I

More Related Content

Viewers also liked

Difference Between Emulation & Simulation
Difference Between Emulation & SimulationDifference Between Emulation & Simulation
Difference Between Emulation & Simulation
catchanil1989
 
Enterprise Optimization with Synthesis
Enterprise Optimization with SynthesisEnterprise Optimization with Synthesis
Enterprise Optimization with Synthesis
Entessa, Inc
 
Topograhical synthesis
Topograhical synthesis   Topograhical synthesis
Topograhical synthesis Deiptii Das
 
Karnaugh
KarnaughKarnaugh
Karnaugh
camilosena
 
Low power tool paper
Low power tool paperLow power tool paper
Low power tool paper
M Madan Gopal
 
Computing and informatics class notes for amie
Computing and informatics class notes for amieComputing and informatics class notes for amie
Computing and informatics class notes for amiePanduga Kumar
 
Vlsi
VlsiVlsi
Tutorials book
Tutorials bookTutorials book
Tutorials book
ifteee
 
Let's check correction
Let's check correctionLet's check correction
Let's check correctionNeus Puig
 
PERSONALIZATION IN SENSOR-RICH ENVIRONMENTS
PERSONALIZATION IN SENSOR-RICH ENVIRONMENTSPERSONALIZATION IN SENSOR-RICH ENVIRONMENTS
PERSONALIZATION IN SENSOR-RICH ENVIRONMENTS
Martha Russell
 
Roy pea lpch_06_10_10
Roy pea lpch_06_10_10Roy pea lpch_06_10_10
Roy pea lpch_06_10_10
Roy Pea
 
Spartan 3E FPGA Board Tutoriels
Spartan 3E FPGA Board TutorielsSpartan 3E FPGA Board Tutoriels
Spartan 3E FPGA Board Tutoriels
Aymen Lachkhem
 
Ieee vlsi titles 2012 copmlete
Ieee vlsi titles 2012 copmleteIeee vlsi titles 2012 copmlete
Ieee vlsi titles 2012 copmletetema_solution
 
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter boardEmbedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter boardVincent Claes
 
Presentation fpgakit
Presentation fpgakitPresentation fpgakit
Presentation fpgakit
aroosa khan
 
Design and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB BridgeDesign and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB Bridge
Manu BN
 
Unit 1(stld)
Unit 1(stld)Unit 1(stld)
Unit 1(stld)
Abhinay Potlabathini
 
Smart Workspaces
Smart WorkspacesSmart Workspaces
Smart Workspaces
Martha Russell
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
Murali Rai
 

Viewers also liked (20)

Difference Between Emulation & Simulation
Difference Between Emulation & SimulationDifference Between Emulation & Simulation
Difference Between Emulation & Simulation
 
Enterprise Optimization with Synthesis
Enterprise Optimization with SynthesisEnterprise Optimization with Synthesis
Enterprise Optimization with Synthesis
 
Topograhical synthesis
Topograhical synthesis   Topograhical synthesis
Topograhical synthesis
 
Karnaugh
KarnaughKarnaugh
Karnaugh
 
Low power tool paper
Low power tool paperLow power tool paper
Low power tool paper
 
Computing and informatics class notes for amie
Computing and informatics class notes for amieComputing and informatics class notes for amie
Computing and informatics class notes for amie
 
Vlsi
VlsiVlsi
Vlsi
 
Tutorials book
Tutorials bookTutorials book
Tutorials book
 
Dsp Presentation
Dsp PresentationDsp Presentation
Dsp Presentation
 
Let's check correction
Let's check correctionLet's check correction
Let's check correction
 
PERSONALIZATION IN SENSOR-RICH ENVIRONMENTS
PERSONALIZATION IN SENSOR-RICH ENVIRONMENTSPERSONALIZATION IN SENSOR-RICH ENVIRONMENTS
PERSONALIZATION IN SENSOR-RICH ENVIRONMENTS
 
Roy pea lpch_06_10_10
Roy pea lpch_06_10_10Roy pea lpch_06_10_10
Roy pea lpch_06_10_10
 
Spartan 3E FPGA Board Tutoriels
Spartan 3E FPGA Board TutorielsSpartan 3E FPGA Board Tutoriels
Spartan 3E FPGA Board Tutoriels
 
Ieee vlsi titles 2012 copmlete
Ieee vlsi titles 2012 copmleteIeee vlsi titles 2012 copmlete
Ieee vlsi titles 2012 copmlete
 
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter boardEmbedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
Embedding VHDL in LabVIEW FPGA on Xilinx Spartan 3E Starter board
 
Presentation fpgakit
Presentation fpgakitPresentation fpgakit
Presentation fpgakit
 
Design and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB BridgeDesign and Implementation of AMBA ASB APB Bridge
Design and Implementation of AMBA ASB APB Bridge
 
Unit 1(stld)
Unit 1(stld)Unit 1(stld)
Unit 1(stld)
 
Smart Workspaces
Smart WorkspacesSmart Workspaces
Smart Workspaces
 
Physical design-complete
Physical design-completePhysical design-complete
Physical design-complete
 

Similar to Sodc 1 Introduction

STUDY ON SCOPE OF PARAMETRIC SOFTWARES IN ARCHITECTURE
STUDY ON SCOPE OF PARAMETRIC SOFTWARES IN ARCHITECTURESTUDY ON SCOPE OF PARAMETRIC SOFTWARES IN ARCHITECTURE
STUDY ON SCOPE OF PARAMETRIC SOFTWARES IN ARCHITECTURE
Anganam
 
Towards Design-space Exploration of Component Chains in Vehicle Software
Towards Design-space Exploration of Component Chains in Vehicle SoftwareTowards Design-space Exploration of Component Chains in Vehicle Software
Towards Design-space Exploration of Component Chains in Vehicle Software
Alessio Bucaioni
 
Design your career in VLSI
Design your career in VLSIDesign your career in VLSI
Design your career in VLSI
M. Raja Reddy
 
M.tech cse 10july13 (1)
M.tech cse  10july13 (1)M.tech cse  10july13 (1)
M.tech cse 10july13 (1)
vijay707070
 
Knowledge based Analysis and Design
Knowledge based Analysis and DesignKnowledge based Analysis and Design
Knowledge based Analysis and Design
faiza nahin
 
Capella Days 2021 | An example of model-centric engineering environment with ...
Capella Days 2021 | An example of model-centric engineering environment with ...Capella Days 2021 | An example of model-centric engineering environment with ...
Capella Days 2021 | An example of model-centric engineering environment with ...
Obeo
 
Engineering 4.0: Digitization through task automation and reuse
Engineering 4.0:  Digitization through task automation and reuseEngineering 4.0:  Digitization through task automation and reuse
Engineering 4.0: Digitization through task automation and reuse
CARLOS III UNIVERSITY OF MADRID
 
Systems Engineering training brochure
Systems Engineering training brochureSystems Engineering training brochure
Systems Engineering training brochure
Shaun West
 
Enterprise Architecture Toolkit Scenarios
Enterprise Architecture Toolkit ScenariosEnterprise Architecture Toolkit Scenarios
Enterprise Architecture Toolkit Scenarios
Mike Walker
 
Interoperability in the Model Accelerated Society
Interoperability in the Model Accelerated SocietyInteroperability in the Model Accelerated Society
Interoperability in the Model Accelerated Society
Jan Goossenaerts
 
Machine Learning Software Engineering Patterns and Their Engineering
Machine Learning Software Engineering Patterns and Their EngineeringMachine Learning Software Engineering Patterns and Their Engineering
Machine Learning Software Engineering Patterns and Their Engineering
Hironori Washizaki
 
towards a model-based framework for development of engineering1 (1)
towards a model-based framework for development of engineering1 (1)towards a model-based framework for development of engineering1 (1)
towards a model-based framework for development of engineering1 (1)
Jinzhi Lu
 
2004 Net-centric Systems and Services Interoperability Engineering (NESSIE)
2004 Net-centric Systems and Services  Interoperability Engineering (NESSIE)2004 Net-centric Systems and Services  Interoperability Engineering (NESSIE)
2004 Net-centric Systems and Services Interoperability Engineering (NESSIE)
Bob Marcus
 
1DS21LVS01-DEEKSHITHA P.pptx
1DS21LVS01-DEEKSHITHA P.pptx1DS21LVS01-DEEKSHITHA P.pptx
1DS21LVS01-DEEKSHITHA P.pptx
TcManjunath1
 
'Applying System Science and System Thinking Techniques to BIM Management'
'Applying System Science and System Thinking Techniques to BIM Management' 'Applying System Science and System Thinking Techniques to BIM Management'
'Applying System Science and System Thinking Techniques to BIM Management'
Alan Martin Redmond, PhD
 
2016-03-02 research seminar
2016-03-02 research seminar2016-03-02 research seminar
2016-03-02 research seminar
ifi8106tlu
 
IEEE SE2012 Internet-based self-services
IEEE SE2012 Internet-based self-servicesIEEE SE2012 Internet-based self-services
IEEE SE2012 Internet-based self-servicesJorge Cardoso
 
SWEBOK Guide Evolution and Its Emerging Areas including Machine Learning Patt...
SWEBOK Guide Evolution and Its Emerging Areas including Machine Learning Patt...SWEBOK Guide Evolution and Its Emerging Areas including Machine Learning Patt...
SWEBOK Guide Evolution and Its Emerging Areas including Machine Learning Patt...
Hironori Washizaki
 
Software Architecture in an Agile World
Software Architecture in an Agile WorldSoftware Architecture in an Agile World
Software Architecture in an Agile World
Abhilash Gopalakrishnan
 

Similar to Sodc 1 Introduction (20)

STUDY ON SCOPE OF PARAMETRIC SOFTWARES IN ARCHITECTURE
STUDY ON SCOPE OF PARAMETRIC SOFTWARES IN ARCHITECTURESTUDY ON SCOPE OF PARAMETRIC SOFTWARES IN ARCHITECTURE
STUDY ON SCOPE OF PARAMETRIC SOFTWARES IN ARCHITECTURE
 
Towards Design-space Exploration of Component Chains in Vehicle Software
Towards Design-space Exploration of Component Chains in Vehicle SoftwareTowards Design-space Exploration of Component Chains in Vehicle Software
Towards Design-space Exploration of Component Chains in Vehicle Software
 
Sternberger CTO eArchitect CV
Sternberger CTO eArchitect CVSternberger CTO eArchitect CV
Sternberger CTO eArchitect CV
 
Design your career in VLSI
Design your career in VLSIDesign your career in VLSI
Design your career in VLSI
 
M.tech cse 10july13 (1)
M.tech cse  10july13 (1)M.tech cse  10july13 (1)
M.tech cse 10july13 (1)
 
Knowledge based Analysis and Design
Knowledge based Analysis and DesignKnowledge based Analysis and Design
Knowledge based Analysis and Design
 
Capella Days 2021 | An example of model-centric engineering environment with ...
Capella Days 2021 | An example of model-centric engineering environment with ...Capella Days 2021 | An example of model-centric engineering environment with ...
Capella Days 2021 | An example of model-centric engineering environment with ...
 
Engineering 4.0: Digitization through task automation and reuse
Engineering 4.0:  Digitization through task automation and reuseEngineering 4.0:  Digitization through task automation and reuse
Engineering 4.0: Digitization through task automation and reuse
 
Systems Engineering training brochure
Systems Engineering training brochureSystems Engineering training brochure
Systems Engineering training brochure
 
Enterprise Architecture Toolkit Scenarios
Enterprise Architecture Toolkit ScenariosEnterprise Architecture Toolkit Scenarios
Enterprise Architecture Toolkit Scenarios
 
Interoperability in the Model Accelerated Society
Interoperability in the Model Accelerated SocietyInteroperability in the Model Accelerated Society
Interoperability in the Model Accelerated Society
 
Machine Learning Software Engineering Patterns and Their Engineering
Machine Learning Software Engineering Patterns and Their EngineeringMachine Learning Software Engineering Patterns and Their Engineering
Machine Learning Software Engineering Patterns and Their Engineering
 
towards a model-based framework for development of engineering1 (1)
towards a model-based framework for development of engineering1 (1)towards a model-based framework for development of engineering1 (1)
towards a model-based framework for development of engineering1 (1)
 
2004 Net-centric Systems and Services Interoperability Engineering (NESSIE)
2004 Net-centric Systems and Services  Interoperability Engineering (NESSIE)2004 Net-centric Systems and Services  Interoperability Engineering (NESSIE)
2004 Net-centric Systems and Services Interoperability Engineering (NESSIE)
 
1DS21LVS01-DEEKSHITHA P.pptx
1DS21LVS01-DEEKSHITHA P.pptx1DS21LVS01-DEEKSHITHA P.pptx
1DS21LVS01-DEEKSHITHA P.pptx
 
'Applying System Science and System Thinking Techniques to BIM Management'
'Applying System Science and System Thinking Techniques to BIM Management' 'Applying System Science and System Thinking Techniques to BIM Management'
'Applying System Science and System Thinking Techniques to BIM Management'
 
2016-03-02 research seminar
2016-03-02 research seminar2016-03-02 research seminar
2016-03-02 research seminar
 
IEEE SE2012 Internet-based self-services
IEEE SE2012 Internet-based self-servicesIEEE SE2012 Internet-based self-services
IEEE SE2012 Internet-based self-services
 
SWEBOK Guide Evolution and Its Emerging Areas including Machine Learning Patt...
SWEBOK Guide Evolution and Its Emerging Areas including Machine Learning Patt...SWEBOK Guide Evolution and Its Emerging Areas including Machine Learning Patt...
SWEBOK Guide Evolution and Its Emerging Areas including Machine Learning Patt...
 
Software Architecture in an Agile World
Software Architecture in an Agile WorldSoftware Architecture in an Agile World
Software Architecture in an Agile World
 

Recently uploaded

DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
FluxPrime1
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
gdsczhcet
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
gerogepatton
 
LIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.pptLIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.ppt
ssuser9bd3ba
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
MLILAB
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
Pratik Pawar
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Sreedhar Chowdam
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
PrashantGoswami42
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
Kamal Acharya
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation & Control
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Dr.Costas Sachpazis
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
SamSarthak3
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
Intella Parts
 
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSETECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
DuvanRamosGarzon1
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
Amil Baba Dawood bangali
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
Divya Somashekar
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
AafreenAbuthahir2
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
AhmedHussein950959
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
ViniHema
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
karthi keyan
 

Recently uploaded (20)

DESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docxDESIGN A COTTON SEED SEPARATION MACHINE.docx
DESIGN A COTTON SEED SEPARATION MACHINE.docx
 
Gen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdfGen AI Study Jams _ For the GDSC Leads in India.pdf
Gen AI Study Jams _ For the GDSC Leads in India.pdf
 
Immunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary AttacksImmunizing Image Classifiers Against Localized Adversary Attacks
Immunizing Image Classifiers Against Localized Adversary Attacks
 
LIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.pptLIGA(E)11111111111111111111111111111111111111111.ppt
LIGA(E)11111111111111111111111111111111111111111.ppt
 
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
H.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdfH.Seo,  ICLR 2024, MLILAB,  KAIST AI.pdf
H.Seo, ICLR 2024, MLILAB, KAIST AI.pdf
 
weather web application report.pdf
weather web application report.pdfweather web application report.pdf
weather web application report.pdf
 
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&BDesign and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
Design and Analysis of Algorithms-DP,Backtracking,Graphs,B&B
 
Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.Quality defects in TMT Bars, Possible causes and Potential Solutions.
Quality defects in TMT Bars, Possible causes and Potential Solutions.
 
Cosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdfCosmetic shop management system project report.pdf
Cosmetic shop management system project report.pdf
 
Water Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdfWater Industry Process Automation and Control Monthly - May 2024.pdf
Water Industry Process Automation and Control Monthly - May 2024.pdf
 
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
Sachpazis:Terzaghi Bearing Capacity Estimation in simple terms with Calculati...
 
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdfAKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
AKS UNIVERSITY Satna Final Year Project By OM Hardaha.pdf
 
Forklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella PartsForklift Classes Overview by Intella Parts
Forklift Classes Overview by Intella Parts
 
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSETECHNICAL TRAINING MANUAL   GENERAL FAMILIARIZATION COURSE
TECHNICAL TRAINING MANUAL GENERAL FAMILIARIZATION COURSE
 
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
NO1 Uk best vashikaran specialist in delhi vashikaran baba near me online vas...
 
block diagram and signal flow graph representation
block diagram and signal flow graph representationblock diagram and signal flow graph representation
block diagram and signal flow graph representation
 
WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234WATER CRISIS and its solutions-pptx 1234
WATER CRISIS and its solutions-pptx 1234
 
ASME IX(9) 2007 Full Version .pdf
ASME IX(9)  2007 Full Version       .pdfASME IX(9)  2007 Full Version       .pdf
ASME IX(9) 2007 Full Version .pdf
 
power quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptxpower quality voltage fluctuation UNIT - I.pptx
power quality voltage fluctuation UNIT - I.pptx
 
CME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional ElectiveCME397 Surface Engineering- Professional Elective
CME397 Surface Engineering- Professional Elective
 

Sodc 1 Introduction

  • 1. SYNTHESIS & OPTIMIZATION OF DIGITAL CIRCUITS Prabhavathi P, Associate Professor, Department of ECE (14EVE41) B N M Institute of Technology, 12th Main, 27th Cross, BSK II Stage, Banashankari, Bengaluru- 560070 Karnataka, India
  • 2. SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS -14EVE41 Motivation.-Microelectronics , Microeconomics Introduction Design Challenges Design Process and Design Styles Microelectronics and Microeconomics Synthesis and Optimization – Automated Synthesis Design Space and Design Evaluation Space Page 8 Page 14 Page 19 Page 22 Page 25 Page 27 Page 42 4-Feb-2017 2SODC_I Outline Course objective and Outcomes Page 3
  • 3. Course description Subject: Synthesis and Optimization of Digital circuits 4-Feb-2017 3SODC_I Subject Code 14EVE41 Exam Hours 03 No. of Lecture Hours /week 04 IA Marks 50 Total no. of Lecture Hours 50 Exam Marks 100 Teaching Scheme Credits Examination Marks L T P External exam Tutorial Internal Tests Total 4 2 0 100 20 30 150
  • 4. SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS -14EVE41 Course description 4-Feb-2017 4SODC_I The aim of this course is to present automatic logic synthesis techniques for computer-aided design (CAD) of very large-scale integrated (VLSI) circuits and systems. This is a highly active research area, enabling the design of increasingly complex digital systems. This course will broadly survey the state of the art, and give a detailed study of various problems, pertaining to the logic-level synthesis of VLSI circuits and systems, including: two-level Boolean network optimization, multi-level Boolean network optimization, technology mapping for library-based designs and field-programmable gate-array (FPGA) designs, and state-assignment and re-timing for sequential circuits. The course will also cover various representations of Boolean functions, such as binary decision diagrams (BDDs), and discuss their applications in logic synthesis NOTE: Students will be expected to implement a variety of Verilog and C/C++ projects throughout the semester. While specific programming assignments may change with the course offering, projects typically focus on the implementation of optimization and synthesis methods discussed in class, as well as the RTL design.
  • 5. SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS -14EVE41 Course Objectives 4-Feb-2017 5SODC_I To introduce students to basic optimization techniques used in circuits design To introduce students to advanced tools and techniques in digital systems design. These include Hardware Modeling and Compilation Techniques. To introduce in details Logic-Level synthesis and optimization techniques for combinational and sequential circuits. To introduce students to Library binding algorithms to achieve implementations with specific cell libraries. To get an idea about the algorithms used in building commercial computer-aided design tools like Synopsis, Cadence, etc. To build sufficient background such that one can understand research papers in the area To understand why these algorithms are considered computationally expensive and why commercial tools are so costly
  • 6. SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS -14EVE41 Reference Books 4-Feb-2017 6SODC_I 1. Giovanni De Micheli, “Synthesis and Optimization of Digital Circuits”, Tata McGraw-Hill, 2003. 2. Srinivas Devadas, Abhijit Ghosh, and Kurt Keutzer, “Logic Synthesis”, McGraw-Hill, USA, 1994. 3. Neil Weste and K. Eshragian, “Principles of CMOS VLSI Design: A System Perspective”, 2nd edition, Pearson Education(Asia) Pvt. Ltd., 2000. 4. Kevin Skahill, “VHDL for Programmable Logic”, Pearson Education(Asia) Pvt. Ltd., 2000
  • 7. SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS Outcomes of the course At the end of the course the student will be able to 1. Understand the process of synthesis and optimization in a top down approach for digital circuits models using HDLs. 2. Understand the terminologies of graph theory and its algorithms to optimize a Boolean equation 3. Apply different two level and multilevel optimization algorithms for combinational circuits 4. Apply the different sequential circuit optimization methods using state models and network models 5. Apply different scheduling algorithms with resource binding and without resource binding for pipelined sequential circuits and extended sequencing models. 6. Understand the role of verification and testing using CAD as another dimension of optimization. 4-Feb-2017 7SODC_I
  • 8. Agenda •Introduction – Microelectronics – Micro “economics” – What is “design”? – Design styles – Computer Aided Design • Techniques for Digital Synthesis – Architectural-Level Synthesis – Logic-Level Synthesis – Geometric Synthesis • Logic Synthesis: an Overview • Design Space and Optimization 4-Feb-2017 8SODC_I
  • 9. INTRODUCTION Introduction Very Large Scale Integration (VLSI) Computer-Aided Design Design Process Design Styles 9SODC_I 4-Feb-2017
  • 10. Introduction - VLSI •IC technology has progressed tremendously over 40 yrs. – Moore‟s Law [SSI - „60, MSI - „70, VLSI - „90, ?? - ‟00] Costs have increased tremendously as well – Larger capital investment due to cost of refining precision – Larger scale increases effort to achieve zero-defect design • ICs are nearly impossible to repair • The design must be correct (and manufacturing defects limited) – Design and manufacturing costs must be recovered via sales • Few designs do enjoy a high volume of sales or long life • Many systems require specialized devices (ASICs) – few hold a significant market share individually • Improvement of technology causes immediate obsolescence • Microelectronics is the Enabling Technology (Micro-Electro-Mechanics more recently) • Design Automation is the Enabling Tool 4-Feb-2017 10SODC_I SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS
  • 11. DESIGNTECHNOLOGYCHALLENGES Design Technology Challenges •Productivity • Design meaningfully with huge number of transistors •Power • Design under the single-chip package power limit •Manufacturing Integration •Interference • Resource-efficient communication and synchronization •Error-Tolerance • Relaxation of the requirement of 100% correctness •Source: ITRS 2001 Edition 11 4-Feb-2017 SODC_I
  • 12. COMPUTERAIDEDDESIGN Computer Aided Design •Role •History •Difficulty: size, NP-hard •Market and Key Players • Tens of billions $ industry • 4 major CAD companies • CAD groups in large companies • Universities with strong CAD groups •Conferences and Journals 12SODC_I 4-Feb-2017
  • 13. BRIEFHISTORYOFCAD CAD - History 13 •1950-1965 • manual design, impractical algorithms (even for MSI). •1965-1975 • physical design tools for automatic layout of gate arrays • IBM: Engineering Design System • AT&T: LTX system for standard cells • logic design tools: • IBM‟s Mini: 2-level heuristic minimizer • Espresso: (IBM and Berkeley) PLA-based design •1975-1985 • placement and routing, technology mapping, multi-level optimization • theoretical development in physical design •1985- • performance/power driven design methodologies • parallel algorithm, graph theory, combinatorial optimization problems 4-Feb-2017 SODC_I
  • 14. Historical Perspective • The earliest CAD tools targeted automatic layout at physical level of abstraction (1960s, Engineering Design System, IBM). • As Large-scale integration (LSI) became possible, the CAD-tools shifted to transistor- and logic-levels of abstraction (MINI, IBM, 1970s) • Logic synthesis tools became popular in the early 1980s, as VLSI technology matured (Multiple-level Interactive System MIS, Berkeley University) • The early high-level synthesis systems were not coupled with logic synthesis tools (Alert, IBM) • The first fully integrated systems were IBM‟s Yorktown Silicon Compiler and the CATHEDRAL system developed at the Catholic University of Leuven, Belgium. • Fully integrated CAD system are normally developed for a specific application and implementation styles, e.g. CATHEDRAL transforms behavioral model of a particular class of designs (digital signal processors) into circuits with particular design styles 4-Feb-2017 14SODC_I
  • 15. Design Challenges • Systems are becoming huge, design schedules are getting tighter – 20 Mio gates becoming common for ASICs – 0.4 Mio lines of C-code to describe system behavior – 5 Mi lines of RTL code • Design teams are getting very large for big projects – several hundred people – differences in skills – concurrent work on multiple levels – management of design complexity and communication very difficult 4-Feb-2017 15SODC_I
  • 16. Stages of IC design 4-Feb-2017 16SODC_I
  • 17. Focus of this Course • CAD tools for synthesis and verification at logic level of abstraction • Theory behind: functions representation and manipulation – representation data structures – manipulation algorithms • In-depth course: – you should be able create a small CAD-tool 4-Feb-2017 17SODC_I
  • 18. DESIGNPROCESS Design Process •System Specification • size, speed, power, and functionality factors to consider: performance, functionality, physical dimension (area) •Functional Design • Determine the timing diagram and other relationships between sub-units. •Logic Design • Derive and test the functionality, usually in boolean expressions and graphic description minimization (or optimization) to achieve the smallest logic design •Circuit Design • Develop a circuit representation (circuit diagram) based on the logic design •Physical Design • Geometric representation of circuit components, layout and connection (partitioning, floor-planning and placement, routing, compaction), most complex and time consuming process •Fabrication • Preparation of wafer (the silicon base for the circuit), deposition, and diffusion of various materials on the wafer according to the layout description •Packaging • Packaging, testing, and debugging: each chip is packaged and tested to ensure that it meets all the design specifications. 18 4-Feb-2017 SODC_I
  • 19. Design Styles 4-Feb-2017 19SODC_I Semicustom Cell based Array based Standard cells Hierarchical Cells Macro Cells Generators Memory PLA Sparse logic Gate Matrics Pre-diffused Gate arrays Sea of Gates Compacted arrays Prewired Anti-fuse based Memory based
  • 20. Comparison of Design styles 4-Feb-2017 20SODC_I Custom Cell based Pre diffused Prewired Density Very high High High Medium - low Performance Very high High High Medium - low Flexibility Very high High Medium Low Design Time Very long Short Short Very short Manufacturing time Medium Medium Short Very short Cost – Low volume Very high High High Low Cost – High Volume Low Low Low High
  • 21. What is a microelectronic component? • Devices which exploit the properties of semiconductor materials • Constructed by patterning a substrate and locally modifying its properties to shape “wires” and logical “devices” • Complex functions are “integrated” into one physical package • Fabrication is very complex Microelectronic components enable “smart” system Microelectronic components enable “smart” systems • Prevalent in modern systems • Failures are not taken well - most applications are “critical” 4-Feb-2017 21SODC_I Introduction - Microelectronics and Microeconomics
  • 22. Overview: “Micro” Economics •How can costs be reduced and net profit increased? – Minimize Design (and test) time Reduces both time-to- market and designers‟ salaries – Increase quality of design to increase fabrication yield and provide competitive performance • Design automation techniques provide an effective means for designing economically viable products – Carrying out a full design w/o errors is increasingly difficult w/o systematic techniques to handle data – CAD techniques tend to focus on Digital Synchronous circuits as they represent the vast majority of circuits in the market 4-Feb-2017 22SODC_I SYNTHESISANDOPTIMIZATIONOFDIGITALCIRCUITS
  • 23. Overview of Synthesis and Optimization 4-Feb-2017 23SODC_I Scheduling Sharing Boolean Function Minimization Boolean Relation Minimization State Minimization Graph Theory Boolean Algebra Synthesis & Optimization Architectural Level Logic Level Architectural Level SatisfiabilityCoveringColoring
  • 24. Overview: What is “Design”? 4-Feb-2017 24SODC_I
  • 27. Example of Architectural view 4-Feb-2017 27SODC_I Source: Giovanni De Micheli, “Synthesis and Optimization of Digital Circuits”, Tata McGraw-Hill, 2003.
  • 28. Example of Logic Synthesis 4-Feb-2017 28SODC_I Source: Giovanni De Micheli, “Synthesis and Optimization of Digital Circuits”, Tata McGraw-Hill, 2003.
  • 29. High-level Synthesis •High-level (Architectural-level) synthesis deals with the transformation of an abstract model of behavior into a model consisting of standard functional units • Goal: to construct the macroscopic structure of a circuit • Input: an abstract model of behavior - Common Abstract Models: HDLs, State diagrams, ASM charts, Sequencing graphs or Control/Data-flow graphs. • Output: a structural view of the circuit, in particular of its Datapath, and a logic-level specification of its control unit - often referred to as the register-transfer level or macro-module model 4-Feb-2017 29SODC_I
  • 31. High-level Synthesis •Measuring cost • Evaluation Metrics: area, cycle-time (clock period), latency, and throughput (pipelines) • The objectives form a n-dimensional design space • Architectural exploration is the traversal of the design space to provide a spectrum of solutions for the designers selection • Generally only the resources are considered (resource dominant) •The fundamental architectural synthesis problem • Explore the design space to minimize “cost” given: • A circuit model (behavioral) • A set of constraints (on cost) • A set of functional resources (characterized for area, delay, etc.) 4-Feb-2017 31SODC_I
  • 32. Why Logic Level? • Logic-level synthesis is the core of today's CAD flows for IC and system design – course covers many algorithms and data structures that are used in a broad range of CAD tools – basis for other optimization techniques – basis for functional verification techniques • Most algorithms are computationally hard – covered algorithms and flows are good example for approaching hard algorithmic problems – course covers theory as well as implementation details – demonstrates an engineering approaches based on theoretical solid but also practical solutions • Very few research areas can offer this combination 4-Feb-2017 32SODC_I
  • 34. Typical Logic Synthesis Flow 4-Feb-2017 34SODC_I
  • 35. Objectives of Logic Synthesis • Minimize area – in terms of literal count, gate count, register count, etc. • Minimize power – in terms of switching activity in individual gates, blocks, etc. • Maximize performance – in terms of maximal clock frequency of synchronous systems,throughput for asynchronous systems • Any combination of the above – combined with different weights – formulated as a constraint problem • “minimize area for a clock speed > 300MHz” 4-Feb-2017 35SODC_I
  • 36. Constraints on Synthesis •Given implementation style: – two-level implementation (PLA) – multi-level logic (ASIC or FPGA) • Given performance requirements – minimal clock speed requirement • Given cell library – set of cells in standard cell library – fan-out constraints (maximum number of gates connected to another gate) 4-Feb-2017 36SODC_I
  • 37. Mapping functions into gates • One of the main steps of logic synthesis is to map a given Boolean functions into a combinational circuit with the minimum “cost” • This task is VERY hard because: – Usually, many different circuits can implement the same function • How to search for a “best circuit”: (1) construct one circuit and try to improve it, or (2) directly construct the best • How to decide which gates to use (AND, OR, NOT, XOR, …) • How to evaluate “cost” (gates, variables, …) 4-Feb-2017 37SODC_I
  • 39. Optimization trade – off in Combinational circuits 4-Feb-2017 39SODC_I
  • 40. Optimization trade – off in Sequential Circuits 4-Feb-2017 40SODC_I Source: Giovanni De Micheli, “Synthesis and Optimization of Digital Circuits”, Tata McGraw-Hill, 2003.
  • 41. Synthesis and Optimization – Simplified view 4-Feb-2017 41SODC_I Source: Giovanni De Micheli, “Synthesis and Optimization of Digital Circuits”, Tata McGraw-Hill, 2003.
  • 42. Pareto Points • Multi – criteria Optimization • Multiple Objectives • Pareto Point • A Point of the design space is a Pareto Point if there is no other point with • At least one inferior objective • All other objectives are inferior or equal 4-Feb-2017 42SODC_I